ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника

Страница создана Константин Мартынов
 
ПРОДОЛЖИТЬ ЧТЕНИЕ
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
Выпуск 6 (6680) от 21 марта 2019 г.

ЭКСПРЕСС-ИНФОРМАЦИЯ
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ

         76%                    60%   85%   35%

ISSN 2500-3844
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
СЕГОДНЯ В ВЫПУСКЕ
 2                                16
 Компетентное мнение              Перспективы развития
                                  технологий ведущих
 4                                поставщиков логики
 Вопросы снижения                 и кремниевых заводов
 уровня дефектности
 полупроводниковых приборов       20
                                  Новый способ
 9                                непосредственной
 GaN-приборы и технологии:        записи на однослойные
 перспективы рынка, патентная     полупроводники
 среда

24
Заводы по обработке
пластин: ситуация
в 2009–2018 гг.
и ближайшие
перспективы

27
К вопросу изменчивости
параметров приборов и процессов
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
35
Об избыточности блокчейна
для приложений безопасности
промышленного Интернета
вещей

                                39
                                Позиция Великобритании в вопросе
                                проблем кибербезопасности

                                42
                                В Массачусетском технологическом
                                институте разработан новый датчик
                                МРТ

44
                              Издатель                            Реклама
                              АО «ЦНИИ «Электроника»              publish@instel.ru
                                                                  +7 (495) 940‑65‑24
Поиск новых материалов        Главный редактор
                              Алена Фомина, д. э. н., доц.        Адрес редакции
и технологий для питания      Заместитель главного редактора
                                                                  127299, г. Москва,
                                                                  ул. Космонавта Волкова, д. 12
датчиков Интернета вещей      Виктория Французова
                                                                  +7 (495) 940‑65‑24
                              Научный референт                    www.instel.ru
                              Валерий Мартынов, д. т. н., проф.   publish@instel.ru

46                            Выпускающий редактор
                              Полина Корсунская
                                                                  Экспресс-информация по зарубежной
                                                                  электронной технике издается с 1971 г.,
Глоссарий                     Авторы материалов
                                                                  в электронной версии – с 2003 г.

                              Михаил Макушин,                     Издание зарегистрировано
                              Анастасия Хомчик,
                                                                  в Федеральной службе
                              Иван Черепанов,
                                                                  по надзору за соблюдением
                              Юлия Яцина
                                                                  законодательства в сфере массовых
                              Над выпуском работали               коммуникаций и охране
                              Григорий Арифулин,                  культурного наследия
                              Людмила Железнова,                  (свидетельство ПИ № 77–13626
                              Анастасия Никитина                  от 20 сентября 2002 г.).
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
КОМПЕТЕНТНОЕ МНЕНИЕ
   Вопросы изменчивости параметров             От параметров производственного про-
производственного процесса тесно свя-      цесса непосредственно зависит надеж-
заны с проблемой надежности ИС. Этот       ность ИС, которая все теснее связывается
фактор критически важен для автомо-        с надежностью конечных электронных си-
бильной, медицинской и промышленной        стем. Требование амортизации отказов,
электроники, и ситуация обостряется        т.е. возможности системы выполнять ос-
по мере масштабирования и усложнения       новные функции при появлении аппарат-
конструкций ИС. Еще недавно изменчи-       ных или программных ошибок, стало уже
вость параметров технологического про-     стандартным (ISO 26262). Это приводит
цесса рассматривалась как проблема         или к избыточности системы (увеличение
производителя ИС и решалась при по-        стоимости), или к возможности использо-
мощи платформ контроля соблюдения          вать ИС, изначально не предназначенные
проектных норм. По мере расширения         для данной системы.
сферы использования ИС растет число            Обычно для решения проблем с раз-
источников вариаций производственного      бросом параметров и возникновением де-
процесса. Отклонения параметров вы-        фектов ИС, используемых в критически
зываются не только особенностями тех-      важных системах, необходима «обкат-
нологии, но и ошибками проектирования      ка» нового технологического процесса
(особенно за счет изменений конструк-      на протяжении 5–7 лет. Для этого требу-
ции в последний момент), воздействием      ется глубокое понимание как на уровне
инструментальных средств обработки,        системы, так и на уровне ИС, а также
окружающей среды и других факторов.        достаточный объем данных, позволяю-
При этом каждое отклонение по отдель-      щий сделать выводы о типе, причинах
ности может быть не опасно, но накопле-    и локализациях дефектов. Однако чем
ние вариаций на всех этапах обработки      современнее технологический уровень,
часто приводит к формированию негод-       тем меньше понимания и данных о нем,
ного кристалла. Соответственно, при раз-   а в случае 7/5-нм технологий никакого
работке, проектировании и изготовлении     опыта вообще нет. Кроме того, источ-
полупроводниковых приборов с меньши-       ником отказов могут быть и операции
ми топологическими элементами необхо-      корпусирования, особенно в случае раз-
дим контроль всех типов вариаций из-за     мещения в одном модуле разнородных
ужесточения допусков. Все более пробле-    по типу и топологиям кристаллов ИС
матичным становится высокоточное со-       и интегрированных пассивных компо-
вмещение шаблонов при формировании         нентов. Растущее число компонентов
предельно малых топологических эле-        и увеличение сложности конструкций ИС
ментов. Растет необходимость координа-     требует удлинения цикла тестирования
ции деятельности различных участников      и использования более объемных тестов.
информационно-технологического обме-       Отраслевые специалисты полагают, что
на по вопросам спецификаций, допусти-      необходимо расширить практику тести-
мых погрешностей и бюджетов ошибок.        рования на ранних этапах производства
Таким образом, проблема изменчивости       электронных компонентов.
параметров актуальна по всей цепочке
поставок – ​от выбора материалов и обо-                         Михаил Макушин,
рудования до проектирования, производ-         главный специалист отдела научно-
ства и окончательного тестирования.               технического планирования РЭП

2    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
ПРОРЫВНЫЕ ТЕХНОЛОГИИ
МИКРО- И РАДИОЭЛЕКТРОНИКИ
Вопросы снижения
уровня дефектности
полупроводниковых приборов
Ключевые слова: надежность, «нулевая дефектность», полупроводниковые приборы, срок службы,
цепь поставок.

     Надежность полупроводниковых приборов постепенно становится высшим
  приоритетом в широком диапазоне рынков по конечному применению, среди ко-
  торых максимальными темпами развития отличаются автомобильная и промыш-
  ленная электроника, облачные вычисления. В перспективе повышение надеж-
  ности позволит отказаться от замены ИС каждые 2–4 года, при этом некоторые
  из приборов, как ожидается, смогут работать до 20 лет даже при интенсивном
  использовании, иногда и в экстремальных условиях окружающей среды.

   Увеличение сроков службы и исполь-         если в США и Европе самоуправляемые
зование конструкций с меньшими тополо-        автомобили могут использовать доступ-
гическими нормами стимулируют исполь-         ные средства и сети 5G, то в КНР об-
зование новых подходов. Наблюдаемый           ласть данных централизована так, что
сдвиг в приоритетах отражается на всей        5G-системы так же критичны, как и ком-
цепочке поставок электроники, от обе-         муникационные системы собственно ав-
спечения необходимой чистоты матери-          томобиля.
алов и выбора оптимальной архитектуры            Кроме того, одним из основных тре-
до верификации, производства, тестиро-        бований стандарта ISO 26262 является
вания, постпроизводственной верифи-           амортизация отказов, т.е. заложенная
кации и мониторинга. При этом произ-          в конструкцию системы возможность вы-
водительность, потребляемая мощность          полнять (хоть и не в полном объеме) свои
и занимаемая площадь (performance,            функции при появлении аппаратных или
power and area, PPA) не только остаются       программных ошибок. Это требует либо
критическими факторами, но и должны           избыточности системы, что увеличивает
оставаться неизменными в течение всего        (чаще удваивает) стоимость электроники,
предполагаемого срока службы прибора.         либо возможности использовать другие
Кроме того, в этой «формуле» появляют-        схемы, изначально не предназначенные
ся новые факторы – ​прежде всего надеж-       для выполнения данной работы. Таким
ность. Последняя все чаще определяется        образом, например, информационно-раз-
общей надежностью системы, которая,           влекательная система, не считающаяся
в свою очередь, во многих случаях под-        критически важной при проектировании,
разумевает «систему систем» и может           может в чрезвычайной ситуации выпол-
варьироваться от региона к региону. Так,      нять критические функции. Но не все

4    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
Прорывные технологии микро- и радиоэлектроники

так просто. Так, по данным одного из ис-                                                             мобилей в сутки. У BMW, применяющей
следований фирмы J. D. Power (2017 г.),                                                              вдвое меньше электронных компонен-
категория аудиотехники, средств связи,                                                               тов, но производящей ежедневно 10 тыс.
информационно-развлекательного обо-                                                                  машин, возможно появление 54 брако-
рудования и навигации (ACEN) в авто-                                                                 ванных автомобилей в сутки. И дальше
мобильной электронике стала самой                                                                    будет хуже, так как доля электронной
проблематичной с точки зрения каче-                                                                  составляющей в цене автомобиля рас-
ства – ​на нее приходится 22% жалоб                                                                  тет. По оценкам фирмы Optimal+, в бли-
в этом плане. J. D. Power отмечает, что                                                              жайшее время на электронику будет
в 2018 г. ситуация не изменилась.                                                                    приходиться 35% стоимости машины,
   В соответствии с этими данными из-                                                                а с широким распространением авто-
готовители комплектного оборудования                                                                 номных транспортных средств к 2030 г.
(ОЕМ) автомобилей в 2018 г. начали                                                                   этот показатель достигнет уровня в 50%
предъявлять к электронным компонен-                                                                  (а может быть, и превысит его). Но это
там требование безотказной работы                                                                    только приблизительные оценки, так как
(zero failures) в течение 18 лет (рис. 1).                                                           реальных данных по компонентам, кото-
Отчасти оно связано с тем, что в слу-                                                                рые будут использоваться в автомоби-
чае повторяющихся отказов потреби-                                                                   лях, просто нет.
тели с меньшей вероятностью купят                                                                       Действительно, в 1995 г. производи-
свой следующий автомобиль у той же                                                                   тели автомобилей в основном использо-
фирмы. Проблема усугубляется тем,                                                                    вали полупроводниковые приборы, изго-
что число используемых в автомобилях                                                                 товленные по зрелым технологиям (т. е.
ИС и электронных компонентов быстро                                                                  не с самыми малыми топологическими
растет. Так, например, корпорация Audi                                                               нормами). Они применялись в радио-
в своих автомобилях класса люкс ис-                                                                  приемниках или электроприводах подъ-
пользует около 7 тыс. полупроводнико-                                                                ема–опускания дверных стекол, т. е.
вых приборов, выпуская порядка 4 тыс.                                                                в относительно простых системах. Те-
машин в сутки. Соответственно, отказ                                                                 перь же в автомобилях используются ИС
одной детали или узла на миллион при-                                                                и другие полупроводниковые приборы,
водит к появлению 24 дефектных авто-                                                                 изготовленные по новейшим технологи-

                             Стандартное распределение источников отказов электронного управляющего устройства (ECU)

    10 случаев                                                                                     Отказ ECU
    на миллион                                 0                                                                                                     10
                                    Дефектная конструкция
                                           или ПО                                                                                           Дефектность серийного
                                                                                                                                           производства или плохие
                                                                                                         7                                       материалы

                                                                                                   Электронные
                                                                                                 компоненты (ЕК)                                3                  0
                                                                                                                                    Завод по производству
                                                                                                                                                                   Логистика
                                                                                                                                             ECU

                                                                                          7                             0
                                                                                                                    Механические
                                                                                                                   повреждения…+
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
Прорывные технологии микро- и радиоэлектроники

ям, чаще всего с минимальными топо-       В случае с 7/5-нм технологиями такой
логиями. Это необходимо, в частности,     опыт отсутствует, и какими будут откло-
для реализации всех заложенных в пер-     нения от заявленных параметров, неиз-
спективных системах помощи водителю       вестно. Требуется глубокое понимание
(ADAS) параметров. Другими словами,       как на уровне системы, так и на уровне
о комфортном использовании зрелых         ИС, а также достаточный объем данных,
технологий с пятилетним (в среднем)       на основании которых можно сделать
сроком эксплуатации в других примене-     выводы о местах и причинах возникнове-
ниях, по которым уже известны режимы      ния проблем. По мнению специалистов
сбоев и выявлены проблемы примене-        корпорации ASIC Delta, изготовителям
ния, можно забыть. Никто не знает, на-    как автомобилей, так и автомобиль-
сколько стабильны приборы, созданные      ной электроники нужна уверенность
по новейшим технологиям, и какие про-     в том, что после входящего контроля ИС
блемы (в приложении к автомобиль-         и электронные компоненты будут надеж-
ной промышленности) им свойственны.       но и устойчиво работать в течение всего
Эксперты других фирм подтверждают         срока службы, несмотря на неизбежные
выводы специалистов Optimal+. Так, ис-    старение и износ. В автомобильной про-
следователи фирмы DFR Solutions под-      мышленности требования к надежности
черкивают, что обычно для выявления       ИС существенно выше, чем у произво-
всей проблематики новой технологии        дителей потребительской электроники,
требуется ее обкатка в течение 6–7 лет.   так как речь идет о безопасности людей.

КОРПУСИРОВАНИЕ И ТЕСТИРОВАНИЕ
   Одна из причин возникновения от-          Действительно, сложностей много.
казов автомобильных ИС и полупровод­      Появляются интегрированные пассив-
никовых приборов – ​корпусирование        ные приборы, тестируемые в составе
в стандартные пластиковые или кера-       модуля. Ранее полупроводниковые при-
мические корпуса, которые, по мнению      боры поставлялись «россыпью» – ​от-
отраслевых специалистов, выбираются       дельно процессор, отдельно память,
прежде всего из соображений миними-       отдельно пассивные компоненты. При
зации стоимости. Однако ситуация по-      этом интегрированные пассивные при-
степенно меняется, в частности из-за      боры обычно тестировались до разме-
того, что автомобильная промышлен-        щения в корпусе модуля. Индукторы,
ность начинает использовать инстру-       конденсаторы и резисторы изготавли-
ментальные средства проектирования,       вались и тестировались на уровне пла-
которые многие годы применялись при       стины, что при высокой производитель-
создании перспективных конструкций        ности линии в условиях крупносерийного
полупроводниковых     приборов.   При     производства – ​дело нелегкое. В услови-
этом проявляются определенные раз-        ях применений в автомобильной и про-
рывы в опыте производителей ИС, ра-       мышленной электронике к трудностям
нее не работавших специально на ав-       тестирования относятся (при оценке)
томобильную промышленность, а также       смещение температурной нестабильно-
производителей автомобилей и автомо-      сти и полное сопротивление смещения.
бильных ОЕМ, не имеющих опыта рабо-       Обычно пассивные компоненты тестиру-
ты с некоторыми аспектами проектиро-      ются индивидуально, но в приложениях
вания и производства ИС по передовым      с повышенными требованиями к обе-
топологическим нормам. Обе стороны        спечению безопасности или предназна-
изо всех сил пытаются закрыть эти раз-    ченных для работы в жестких условиях
рывы, но в ряде случаев простых реше-     окружающей среды пассивные компо-
ний нет.                                  ненты должны тестироваться группами,

6    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
Прорывные технологии микро- и радиоэлектроники

при близком расположении друг к дру-      что сортировки пластин и заключитель-
гу, – ​для предотвращения электроме-      ного тестирования более не достаточно.
ханической связи. Возможностей суще-      Фирмы-производители новейших ИС
ствующего оборудования тестирования       все больше полагаются на тестирова-
хватает для реализации задачи, но этот    ние системного уровня, и поставщикам
подход достаточно длителен и дорог. Ис-   средств тестирования приходится это
пользование в автомобильных приме-        учитывать, добавляя в свои средства
нениях ИС с топологиями 7/5 нм суще-      дополнительный этап тестирования си-
ственно обостряет проблему.               стемного уровня, а также применяя ме-
   Таким образом, длительность цикла      тоды глубокого обучения, относящиеся
тестирования увеличивается, сами те-      как к аппаратному, так и к программ-
сты становятся более объемными. На то-    ному обеспечению. Более того, стан-
пологиях порядка 7/5 нм применяется       дартные подходы к тестированию уже
большее число транзисторов, при этом      не решают всех задач, соответственно,
все они должны пройти тестирование,       возникает необходимость оснащать со-
а многие поставщики соответствующего      временные и перспективные ИС доста-
оборудования находятся на начальном       точными возможностями встроенного
этапе доведения методик тестирования      самотестирования. И, наконец, и ап-
до приемлемого уровня.                    паратное, и программное обеспечение
   С увеличением сложности конструк-      требует функционального тестирования,
ций ИС удлиняется цикл тестирования       показывающего, разработана ли ИС
в целом. Сложность возросла настолько,    в соответствии со спецификациями.

ПЛАНИРОВАНИЕ ОТКАЗОВ
   Независимо от того, насколько стро-    но параметров изготовленных изделий,
гие требования по дефектности предъяв-    при этом возможные отклонения могут
ляют ОЕМ и поставщики первого уровня      оказаться внутри заданных контроль-
и какие меры по борьбе с дефектностью     ных границ или спецификаций. В этом
применяются, некоторое количество от-     случае поиск дефектов подобен поис-
казов неизбежно. Износ электроники        ку иголки в стоге сена. Первым шагом
происходит по различным причинам.         будут получены данные. Далее необхо-
Возникновение дефектов, ведущих к от-     димо понять, что они означают, после
казам, может быть связано с ошибками      чего вернуться к процессу и уточнить,
при проектировании, вызванными изме-      лежит ли обнаруженное явление в допу-
нениями, вносимыми в конструкцию «в       стимых рамках или все же выходит за их
последнюю минуту» (такие изменения        пределы.
не всегда до конца продуманы и могут         Более того, не всегда можно опреде-
не согласовываться со всеми элемен-       лить, что является катастрофическим
тами конструкции). К другим распро-       дефектом, а что – ​нет. Некоторые скры-
страненным причинам возникновения         тые дефекты никогда не вызовут сбоев,
дефектов можно отнести попадание за-      в то время как другие, менее очевидные,
грязняющих частиц в тонкие пленки или     способны перерасти в более серьезные
нестабильность либо недостаточную         проблемы при изменении условий окру-
чистоту газового потока при операциях     жающей среды или в случае избыточной
травления. Кстати, уже накопилось до-     вибрации (рис. 2).
статочно много исследований послед-          Исходя из этого наряду с усилиями
ствий попадания на 7-нм транзистор слу-   по выявлению и предотвращению воз-
чайной (блуждающей) альфа-частицы.        можных последствий проявления скры-
   Обычно в течение производственного     тых дефектов принимаются меры для
дня собирается статистика относитель-     решения проблемы при ее возникнове-

              Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    7
ЭКСПРЕСС-ИНФОРМАЦИЯ ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - 76% 60% - ЦНИИ "Электроника
Прорывные технологии микро- и радиоэлектроники

                                                                                          Потенциальный скрытый
                                                                       Катастрофический
                               Катастрофический дефект                                    дефект надежности
                                                                       дефект

                                 Потенциальный скрытый дефект надежности                                   Не катастрофический дефект
Источник: KLA

                               Не катастрофический дефект

                Рисунок 2. Сопоставление потенциального и катастрофического дефектов

                нии. Так, помимо повышения надежно-                           Различные автомобильные электрон-
                сти разрабатываются решения, обеспе-                       ные компоненты характеризуются различ-
                чивающие легкую замену компонентов.                        ной временной интенсивностью отказов.
                    Сложность – ​общий параметр старе-                     Если для компонентов потребительской
                ния любой электронной системы. Поми-                       электроники (в данном случае – ​автомо-
                мо этого у различных технологий суще-                      бильной информационно-развлекатель-
                ствуют собственные наборы параметров,                      ной аппаратуры) уровень качества опре-
                влияющих на ускорение старения (из-                        деляется как 100 FIT, то для критических
                носа), например температура и напря-                       с точки зрения обеспечения безопасно-
                жение. Действительно, если устройство                      сти компонентов этот уровень опускается
                рассчитано на работу при напряжении                        до 0,1 FIT. Соответственно, моделирова-
                12 В (от автомобильного аккумулято-                        ние длительности службы автомобиль-
                ра), то его эксплуатация при напряжении                    ных ИС и полупроводниковых приборов
                в 24 В существенно сократит срок служ-                     должно осуществляться в зависимости
                бы. Ускорить износ электронных систем                      от классификации конечной электронной
                и компонентов способны самые разные                        системы, в которой они применяются.
                параметры: влажность, механические                         К электронным устройствам автомоби-
                воздействия и т. п.                                        ля, не являющимся критически важными
                    Опираясь на эти параметры, можно                       для обеспечения безопасности, относится
                моделировать срок службы компонен-                         (помимо информационно-развлекатель-
                тов. Чем больше компонентов при этом                       ной аппаратуры), в частности, электро-
                используется, тем короче процесс мо-                       ника контроля уровня зарядки аккумуля-
                делирования, особенно при «пожизнен-                       торов. При моделировании надежности
                ном» моделировании из расчета сро-                         электронных компонентов для вычисле-
                ка службы компонента в 20 лет. В этом                      ния воздействия различных факторов
                случае применяется единица измерения                       на ускорение их износа обычно исполь-
                интенсивности отказов FIT (failures per                    зуют уравнение Аррениуса, устанавлива-
                interval of time), подразумевающая один                    ющее зависимость константы скорости
                отказ на 109 часов наработки.                              химической реакции от температуры.

                ЗАКЛЮЧЕНИЕ
                   Насколько хорошо будут работать                         а также насколько точным может быть
                автомобильные электронные компо-                           моделирование этого процесса с ис-
                ненты в течение всего срока службы,                        пользованием метода ускорения из-

                8    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Прорывные технологии микро- и радиоэлектроники

носа, сказать трудно. В процессе экс-                приборов, а с другой – ​производителями
плуатации автомобиля проявляются                     автомобильной электроники и автомо-
не только известные факторы, но и те,                билей. Именно такой подход существен-
появление которых трудно предсказать.                но повышает вероятность предсказания
Кроме того, проектирование и произ-                  различных неисправностей и отказов
водство ИС по новейшим технологи-                    и обнаружения катастрофических де-
ческим процессам с использованием                    фектов.
минимальных топологических норм так-                    Критические для безопасности при-
же характеризуется множеством пере-                  менения потребуют дополнительных эта-
менных – ​от изменчивости собственно                 пов тестирования и лучшего понимания
технологических процессов (проектиро-                механизмов возникновения и проявле-
вания и особенно производства) до воз-               ния дефектов. Отраслевые специалисты
никновения по различным причинам                     полагают, что необходимо расширить
мельчайших дефектов. Главное – ​пра-                 практику тестирования на ранних этапах
вильно понять и оценить все эти пере-                производства электронных компонентов
менные, а затем грамотно использовать                для автомобильных систем. Во многом
весь объем знаний, накопленных, с од-                это мотивируется предстоящим перехо-
ной стороны, проектировщиками и про-                 дом к расширению использования авто-
изводителями ИС и полупроводниковых                  номных транспортных средств.

Sperling Ed, Rambo Susan. Reliability Becomes the Top Concern in Automotive. Semiconductor Engineering,
February 12, 2019: https://semiengineering.com/reliability-becomes-the-top-concern-in-automotive/

GaN-приборы и технологии:
перспективы рынка,
патентная среда
Ключевые слова: портфель патентов, РЧ GaN-ИС, СФ-блоки, тенденции развития, экосистема.

     Как ожидается, индустрия радиочастотных GaN-приборов в период 2017–
  2023 гг. продемонстрирует впечатляющие темпы роста в сложных процентах
  (CAGR). Движущими факторами станут телекоммуникационное оборудование
  и средства и системы военного назначения. По итогам 2017 г. продажи на данном
  рынке приблизились к 400 млн долл., а в 2023 г. они могут превысить миллиард
  долларов. Также ожидаются изменения в расстановке сил и составе поставщиков.

   Производство радиочастотных по-                   (г. Лион, Франция) CAGR в период меж-
лупроводниковых приборов на основе                   ду 2017 и 2023 г. составит 23%, что обу­
нитрида галлия (GaN) демонстрирует                   словлено главным образом спросом
впечатляющий рост – ​по данным иссле-                со стороны производителей телекомму-
довательской фирмы Yole Développement                никационного оборудования и систем

                  Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    9
Прорывные технологии микро- и радиоэлектроники

   В ЦЕНТРЕ ВНИМАНИЯ: CREE INC.

                                            Cree Inc. – ​американский производитель мощ-
                                         ных полупроводниковых СИД, осветительных при-
                                         боров и изделий для применения в энергетике и РЧ-
                                         приложениях.

   Дата основания: 1987 г.

   Штаб-квартира: г. Дарем, шт. Северная Каролина, США.

   Численность сотрудников (2015 г.): 6387 чел.

   Валовый доход (2018 г.): 1,493 млрд долл.

   Операционный доход (2015 г.): 124 млн долл.

    Большинство продуктов компании реализу-       ковых технологий. Корпорация сотрудничает
ется на основе карбида кремния (SiC). Это со-     с ведущими мировыми проектировщиками
единение редко встречается в природе, но Cree     с целью создания более быстродействующих,
успешно синтезирует его в промышленных            компактных, легких и мощных электронных
объемах, пользуясь результатами собствен-         систем.
ных лабораторных исследований. SiC обеспе-            В настоящее время Cree производит высо-
чивает более высокую производительность           ковольтные SiC диоды Шоттки с напряжением
в приложениях, где требуется высокая износо-      от 300 до 1200 В и током до 20 А по технологии
стойкость, и в полупроводниковых приборах,        Zero Recovery™ (с нулевым временем обратно-
работающих при высоких температурах и на-         го восстановления), СВЧ полевые транзисторы,
пряжениях. Создание надежного производства        а также кристаллы для СИД и полупроводнико-
высококачественного SiC позволило корпо-          вых лазеров синего и ультрафиолетового диа-
рации расширить свою деятельность на ряд          пазона. GaN-транзисторы с высокой подвиж-
сегментов рынка, где требуются приборы по-        ностью электронов (HEMT) и монолитные СВЧ
вышенной производительности и эффектив-           ИС (MMIC) от Cree/Wolfspeed предоставляют
ности.                                            больше частотных диапазонов, чем продук-
    Входящая в Cree фирма Wolfspeed – ​один       ция других производителей. Приборы имеют
из немногих поставщиков наиболее проверен-        большую дальность обнаружения, улучшенное
ных SiC- и GaN-решений в области энергетики       распознавание цели и более долгий срок служ-
и РЧ-применений. Cree/Wolfspeed лидирует          бы, чем устаревшая технология ламп бегущей
в области широкополосных полупроводни-            волны.

   военного назначения, ищущих иннова-                стигнет отметки более 1,3 млрд долл.
   ционные технологии. РЧ-приборы на ос-              с учетом изменений в составе действую-
   нове GaN отвечают их требованиям.                  щих на данном рынке игроков.
   К концу 2017 г. общий объем рынка РЧ                  Основным рынком конечного приме-
   GaN-приборов составил около 380 млн                нения РЧ GaN-приборов остаются систе-
   долл., и ожидается, что в 2023 г. он до-           мы военного назначения, поскольку эти

   10    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Прорывные технологии микро- и радиоэлектроники

приборы соответствуют специализиро-       и «GaN-на-кремнии»), РЧ полупровод­
ванным требованиям к высоким эксплу-      никовым приборам (включая транзисто-
атационным характеристикам, а также       ры с высокой подвижностью электро-
отличаются низкой чувствительностью       нов – ​HEMT и биполярные транзисторы
к ценам. В 2017–2018 гг. на долю систем   на гетероструктурах – ​HBT), интеграль-
военного назначения пришлось более        ным схемам (включая РЧ ИС и монолит-
35% всего рынка РЧ GaN-приборов, при      ные СВЧ ИС – MMIC), технологиям кор-
этом нигде в мире не наблюдается при-     пусирования и методам эксплуатации.
знаков замедления. Данный сегмент         Основными типами приборов, охвачен-
рынка GaN-приборов будет продолжать       ных исследованием, стали РЧ усилите-
расти параллельно с общим ростом ис-      ли мощности, РЧ переключатели и РЧ
пользования GaN-технологии в других       фильтры для частот от 6 ГГц
секторах [1].                             по СВЧ-излучению и >20 ГГц для милли-
   Недавно фирма KnowMade, партнер        метровых волн [2].
Yole Développement, произвела иссле-         РЧ GaN-технология уже признана
дование патентной среды, связанной        ведущими производителями как одна
с РЧ-технологиями и приборами на ос-      из ключевых в основных областях ее
нове GaN. Для этого были отобраны         применения. Ведущие игроки рынка бы-
и проанализированы более 3750 па-         стро увеличивают свои доходы, и эта
тентов (сгруппированных в более чем       тенденция в ближайшие годы сохранит-
1700 семейств патентов-аналогов),         ся. Что касается интеллектуальной соб-
опубликованных по всему миру до ок-       ственности (сложные функциональные
тября 2018 г. Эти патенты относятся       блоки) в экосистеме РЧ GaN-технологии,
к РЧ GaN эпитаксиальным пластинам         то здесь доминируют американские
(включая пластины типа «GaN-на-SiC»       и японские фирмы [1].

АМЕРИКАНСКИЕ И ЯПОНСКИЕ ФИРМЫ
ДОМИНИРУЮТ В ОБЛАСТИ СФ-БЛОКОВ,
ОТНОСЯЩИХСЯ К РЧ GAN-ТЕХНОЛОГИИ
   Самым устойчивым положением            риканские корпорации Intel и MACOM.
в области РЧ GaN СФ-блоков обла-          Другие фирмы, действующие на рынке
дает американская корпорация Cree/        РЧ GaN-технологий, такие как Qorvo,
Wolfspeed (рис. 1), особенно по GaN       Raytheon, Northrop Grumman, NXP/
HEMT на SiC-подложках. Лидер рын-         Freescale и Infineon, имеют некоторые
ка РЧ GaN-приборов, японская фирма        ключевые патенты, но при этом не обя-
Sumitomo Electric, также имеет хорошие    зательно занимают сильные позиции
позиции в области интеллектуальной        в сфере интеллектуальной собственно-
собственности, но значительно отстает     сти. Ведущие китайские игроки в данной
от Cree. Кроме того, Sumitomo Electric    области – ​China Electronics Technology
замедляет свою патентную активность,      Group Corporation (CETC) и Сианьский
в то время как другие японские фирмы,     университет науки и техники, специ-
такие как Fujitsu, Toshiba и Mitsubishi   ализирующиеся на РЧ GaN-технологиях
Electric, увеличивают число патентных     в области СВЧ- и миллиметровых при-
заявок, стремясь расширить и укре-        менений. Три года назад в сферу па-
пить свои патентные портфели. Одна-       тентования СФ-блоков для РЧ GaN-
ко наибольшую активность по заявкам       технологий вошел новый кремниевый
на получение патентов в сфере РЧ          завод HiWafer. К настоящему времени
GaN-технологий (особенно по техноло-      он стал одним из самых серьезных ки-
гии «GaN-на-кремнии») проявляют аме-      тайских игроков на этом поле.

             Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    11
Прорывные технологии микро- и радиоэлектроники

                                                                            Высокий

                                                                                      Уровень устойчивости патентного портфеля
Источник: RF GaN2019 – ​Patent landscape Analysis report, Know, 2019

                                                                            Средний

                                                                                                                                                 Cистема показателей:

                                                                                                                                                 • Размер патентного портфеля
                                                                                                                                                 • Текущий правовой статус патента
                                                                             Низкий                                                              • Географический охват портфеля
                                                                                                                                                 • Вклад предшествующего уровня техники
                                                                                                                                                 • Оставшийся срок действия патента

                                                                       Рисунок 1. Основные игроки в области РЧ GaN СФ-блоков по емкости патентных портфелей

                                                                       HEMT НА ОСНОВЕ GAN ДЛЯ РАДИОЧАСТОТНЫХ ПРИМЕНЕНИЙ
                                                                          Корпорация Cree/Wolfspeed занима-                      ет наибольшую активность как патент-
                                                                       ет ведущие позиции по GaN HEMT СФ-                        ный заявитель и намерена в ближайшие
                                                                       блокам для РЧ-применений, особенно                        годы укрепить свои позиции в области
                                                                       в области технологии «GaN-на-кремнии»,                    СФ-блоков, особенно в отношении техно-
                                                                       значительно опережая своих основных                       логии «GaN-на-кремнии». Новые участ-
                                                                       конкурентов – ​Sumitomo Electric и Fujitsu.               ники сферы патентования РЧ GaN HEMT
                                                                       Анализ портфеля соответствующих па-                       в основном представлены китайскими
                                                                       тентов Cree показывает, что корпорация                    фирмами, такими как HiWafer, Sanan IC
                                                                       может эффективно ограничивать па-                         и Beijing Huajin Chuangwei Electronics.
                                                                       тентную деятельность и контролировать                     Другие заметные «новички» – ​тайвань-
                                                                       свободу работы в этой области других                      ские TSMC и Wavetek Microelectronics,
                                                                       фирм в большинстве стран. Intel, кото-                    южнокорейские Wavice и Gigalane, япон-
                                                                       рая позже вошла в патентную систему                       ская Advantest, а также американские
                                                                       GaN HEMT, в настоящее время проявля-                      MACOM и ON Semiconductor.

                                                                       ПОДЛОЖКИ ТИПА «GAN-НА-КРЕМНИИ» ДЛЯ РЧ-ПРИМЕНЕНИЙ
                                                                          При проведении патентного исследо-                     патентообладателями являются корпора-
                                                                       вания специалисты фирмы KnowMade                          ции Intel и MACOM, за которыми следу-
                                                                       столкнулись с рядом интересных момен-                     ют Sumitomo Electric, Infineon, Panasonic,
                                                                       тов. Во-первых, далеко не во всех патентах                HiWafer, CETC, Fujitsu и Mitsubishi Electric.
                                                                       указывается конкретная подложка-носи-                     Кроме того, значительная часть патент-
                                                                       тель слоя GaN. Во-вторых, с 2011 г. число                 ных заявок посвящена двум вопросам:
                                                                       заявок на патенты, относящиеся к техно-
                                                                       логии «GaN-на-кремнии» постоянно уве-                     ■	подавлению слоя паразитного канала,
                                                                       личивается (рис. 2). В-третьих, основными                    формирующегося близ поверхности

                                                                       12    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Прорывные технологии микро- и радиоэлектроники

                                    «GaN-            -        »          «GaN-     -SiC»             ,           GaN-
  »)
           40                                                                                                              300
                         17%                 ,                        GaN-             ,
                                         -               GaN                 SiC
           35
  -

                «GaN-   -       »                -            – 147
                                                                                                                           250

                                                                                                                                 GaN)
  «GaN-

                «GaN-   -SiC»        -                   – 149

           30

                                                                                                                                 (
                                                                                                         «GaN-   -
                                                                                                                           200
  -SiC»

                                                                                           »
           25                                                                                  GaN          2011 .

                                                                                                                                 -
  («GaN-

           20                                                                                                              150

           15                                                         GaN
                                                                                                                           100
  -

                                                          -

           10

                                                                                                                           50
           5

                                                                                                                                        Источник: KnowMade
           0                                                                                                               0

Рисунок 2. Динамика патентных публикаций, относящихся к РЧ-применениям на основе «GaN-на-
кремнии» и «GaN-на-SiC»

Примечание: Данные за 2018 г. неполные, так как патентное исследование было завершено в октябре 2018 г.

      кремниевой подложки и неблагопри-                                                    ■	корпусированию  приборов типа «GaN-
      ятно влияющего на высокочастотные                                                        на-кремнии» и управлению тепловым
      характеристики;                                                                          режимом.

GAN МОНОЛИТНЫЕ СВЧ ИС
   Заявки на патенты по GaN MMIC                                                           следние патенты Toshiba относятся
к настоящему времени подали более                                                          к корпусированию GaN MMIC, а также
30 фирм. Наиболее обширными патент-                                                        к инновациям, обеспечивающим воз-
ными портфелями обладают корпора-                                                          можность поддерживать и выдерживать
ции Toshiba и Cree/Wolfspeed (рис. 3),                                                     напряжения, необходимые для работы
при этом у Cree самые сильные пози-                                                        МДМ-конденсаторов при уменьшении
ции, но Toshiba, приступившая к патен-                                                     их размеров и масштабировании MMIC
тованию GaN MMIC позднее, демон-                                                           в целом. Основными «новичками» в об-
стрирует бóльшую активность в подаче                                                       ласти подачи заявок на патенты по GaN
заявок на патенты. Если эта тенденция                                                      MMIC являются китайские фирмы Tiger
в ближайшие годы сохранится, Toshiba                                                       Microwave и Beijing Huajin Chuangwei
сможет выйти на первое место. По-                                                          Electronics.

РЧ GAN УСИЛИТЕЛИ, ПЕРЕКЛЮЧАТЕЛИ, ФИЛЬТРЫ
   В области патентов и заявок на па-                                                      theon и Sumitomo Electric. Корпорация
тенты по РЧ GaN усилителям мощно-                                                          MACOM, вышедшая в данную сферу
сти первое место занимает корпорация                                                       позднее, выделяется активностью в по-
Cree/Wolfspeed. За нею следуют Toshi-                                                      даче патентных заявок. Максимальную
ba, Fujitsu, Mitsubishi Electric, Qorvo, Ray-                                              активность в подаче патентных заявок

                        Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    13
Прорывные технологии микро- и радиоэлектроники

                                                                                                            Чем больше у заявителя,
                                                                                                            испрашивающего патент,
                                                                                                            полученных патентов и патентных
                                                                                                            заявок вместе взятых, тем
                                                                                                            устойчивее его лидерство в области
                                                                                                            СФ-блоков. Размер шаров
                          Число выданных патентов

                                                                                                            соответствует числу патентов,
                                                                                                            отобранных для исследования.
                                                                                       ов
                                                                                     ок
                                                                                   бл
                                                                               СФ-
                                                                          ти
                                                                      лас
                                                                   об
                                                              ов
                                                          рств
                                                       де
                                                     Ли
Источник: KnowMade

                                                     Число заявок на патент, находящихся на рассмотрении

                     Рисунок 3. Лидерство в области патентов на СФ-блоки GaN монолитных СВЧ ИС

                     на РЧ GaN переключатели проявляет                                      числе патентных заявок в качестве эпи-
                     корпорация Intel, а самым примечатель-                                 таксиального слоя указываются нитри-
                     ным новым участником данного секто-                                    ды металлов III группы. В настоящее
                     ра стала компания Tagore Technology                                    время крупнейшая доля патентных за-
                     (г. Арлингтон-Хайтс, шт. Иллинойс).                                    явок по РЧ GaN фильтрам приходится
                     В области РЧ фильтров во все большем                                   на корпорацию Intel.

                     КОРПУСИРОВАНИЕ РЧ GAN-ПРИБОРОВ
                        Самым заметным игроком в обла-                                      полупроводниковых усилителей и MMIC.
                     сти интеллектуальной собственности                                     Также большую активность в данном
                     на корпусирование РЧ GaN-приборов яв-                                  секторе демонстрируют Cree/Wolfspeed,
                     ляется корпорация Toshiba. Особое вни-                                 Infineon, Sumitomo Electric, NXP/Freescale,
                     мание она уделяет корпусированию РЧ                                    MACOM, Mitsubishi Electric [2].

                     ВЫВОДЫ
                        Как показывают исследования, GaN-                                   других секторах, имеющих большое зна-
                     приборы и технологии демонстрируют                                     чение для систем военного назначения
                     устойчивый потенциал развития. Во мно-                                 и средств и систем связи, растущую ак-
                     гих сегментах данного сектора безуслов-                                тивность демонстрируют китайские из-
                     ное лидерство принадлежит американ-                                    готовители и научно-исследовательские
                     ской корпорации Cree. Как и во многих                                  учреждения.

                     1. RF GaN IP Landscape: Who Is Playing the Game? I-Micronews Magazine, February 13, 2019: https://
                     www.i-micronews.com/rf-gan-ip-landscape-who-is-playing-the-game‑2/ 2. RF GaN Patent Landscape. Know-
                     Made, February 2019: https://www.knowmade.com/downloads/rf-gan-patent-landscape/

                     14    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Прорывные технологии микро- и радиоэлектроники

Перспективы развития
технологий ведущих поставщиков
логики и кремниевых заводов
Ключевые слова: кремниевый завод, логика, микроэлектроника, опытное и серийное
производство, технологический процесс.

     Современный уровень развития технологических процессов поставщиков ло-
  гики и услуг кремниевых заводов связан с появлением значительного числа ва-
  риаций базовых процессов. Такой подход призван удовлетворять потребителей
  с разными запросами (низкая и ультранизкая потребляемая мощность, высокая
  производительность, малая площадь кристалла и т. п.). Кроме того, если одни
  фирмы продолжают масштабировать свои процессы (Intel, TSMC, Samsung),
  другие предпочитают глубокую модернизацию уже имеющихся (GlobalFoundries)
  с целью снижения издержек.

    Дальнейшее развитие микроэлектро-          Lake-S (иногда Coffee Lake Refresh).
ники зависит от способности изготови-          По заявлению специалистов Intel, эти
телей ИС продолжать наращивать про-            процессоры представляют собой совер-
изводительность и функциональность             шенно новое поколение, в то время как
своих приборов, оставаясь в рамках при-        многие отраслевые специалисты рас-
емлемых для заказчиков цен. По мере            сматривают их как усовершенствован-
того как основные КМОП-процессы до-            ные изделия восьмого поколения. Пока
стигают своих теоретических, практиче-         Intel опубликовала слишком мало инфор-
ских и экономических пределов, сниже-          мации об этих процессорах, но, по всей
ние удельной стоимости ИС (на функцию          видимости, они изготовлены по расши-
или по производительности) становится          ренной версии процесса 14 нм++, кото-
особенно важной и сложной задачей.             рый можно рассматривать как процесс
«Полный анализ и прогноз развития              14 нм+++.
микроэлектроники» (McClean Report –​               В 2019 г. планируется наращивать
A Complete Analysis and Forecast of the        крупносерийное производство по 10-нм
Integrated Circuit Industry), выпущенный       процессу нового семейства процессоров
в январе 2019 г. корпорацией IC Insights       Sunny Cove, представленного в декабре
(г. Скотсдейл, шт. Аризона, США), пока-        2018 г. Похоже, что архитектура Sunny
зывает, что разнообразие предлагаемых          Cove фактически заняла место архитек-
фирмами-изготовителями технологиче-            туры Cannon Lake (10-нм процесс), кото-
ских процессов, ориентированных на ло-         рую ранее предполагалось реализовы-
гические приборы, сегодня больше, чем          вать в 2019 г. Ожидается, что в 2020 г.
в любой предшествующий период (см.             в массово-поточном производстве нач-
рисунок). При этом регулярно появляют-         нет осваиваться технологический про-
ся расширенные вариации базового про-          цесс 10 нм+.
цесса.                                             TSMC. Этот крупнейший в мире крем-
    Intel. Кодовое наименование процес-        ниевый завод начал массовое произ-
соров девятого поколения корпорации,           водство ИС по 10-нм процессу в конце
представленных в конце 2018 г., – ​Coffee      2016 г., но быстро перешел на 7-нм про-

16    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Прорывные технологии микро- и радиоэлектроники

                                                                                                                 Источник: данные компаний, материалы конференций, IC Insights
Маршрутная карта развития технологических процессов производителей логики и кремниевых за-
водов (поточно-массовое производство)
Примечание 1. Понятия «поколение технологического процесса» и «начало массового производства» у различных про-
изводителей могут отличаться из маркетинговых соображений, поэтому точки перехода на новую технологию указаны
ориентировочно.
Примечание 2. Знаками «+» и «++» после минимальных топологических норм технологического процесса обозначены
расширенные версии базового процесса. Как правило, первые («+») расширенные версии предлагают экономию зани-
маемого на плате места и сниженную потребляемую мощность. Они в основном ориентированы на мобильную технику.
Вторые расширенные версии («++») предлагают как высокую производительность, так и малую потребляемую мощность
и ориентированы на ИС для старших (наиболее производительных) моделей различных конечных электронных систем.

цесс. Специалисты корпорации считают,                   зоваться шире – ​с ее помощью будет
что 7-нм технологическое поколение бу-                  формироваться до 14 слоев.
дет обладать таким же длительным ци-                       Samsung. В начале 2018 г. корпора-
клом использования в производстве, как                  ция Samsung начала массово-поточное
28-нм и 16-нм процессы.                                 производство ИС по второму поколе-
   Фирменный процесс с использова-                      нию 10-нм процесса (10LPP1). В конце
нием 5-нм топологий находится в ста-                    2018 г. Samsung представила третье
дии разработки. Опытное производство                    поколение 10-нм процесса – ​10LPU
запланировано на первое полугодие                       (версия со сверхмалой потребляемой
2019 г., а массово-поточное – ​на 2020 г.               мощностью), обеспечивающее дальней-
В данном процессе будет применять-                      шее повышение производительности.
ся литография предельной УФ-области                     На уровне 10-нм технологий Samsung
спектра (EUV, длина волны излучения                     использует методику тройного форми-
13,5 нм). Однако первым процессом,                      рования рисунка (triple patterning). В от-
в котором будет использоваться EUV-                     личие от TSMC, корпорация предпола-
литография, станет усовершенство-                       гает, что ее 10‑нм семейство процессов
ванная версия 7-нм процесса – ​7 нм+                    (включая 8-нм производные процессы)
(со II кв. 2019 г.). В этой версии приме-               будет обладать длительным сроком ис-
нение EUV будет ограничено четырьмя                     пользования.
критическими слоями. В рамках 5-нм                         Опытное производство ИС по 7-нм
процесса EUV-литография будет исполь-                   технологии корпорация Samsung нача-

                 Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    17
Прорывные технологии микро- и радиоэлектроники

   МНЕНИЕ ЭКСПЕРТА
                                                иммерсионной литографии. С появлением
                                                на рынке технологического оборудования EUV-
                                                литографии появилась возможность заменить
                                                его на метод прямого переноса изображения,
                                                и у производителей теперь встает только эко-
                                                номический вопрос: или покупать дорогой
                                                EUV-сканер, или использовать иммерсионную
                                                литографию с бόльшим числом этапов лито-
                                                графий и более длительным циклом произ-
                                                водства.
                                                    С точки зрения методов формирования
                                                транзисторных структур для всех технологий
                                                начиная с 16 нм и менее используются прин-
                                                цип заменяемого металлического затвора RMG
                                                (начал использоваться с 28 нм), структура
                                                FinFET-транзистора (используется с 16 нм), си-
                                                лицидирование контактов Ni (начало исполь-
                                                зоваться для 65 нм), формирование медной
                                                металлизации методом двойного дамасцена
                                                (начал использоваться с 130 нм, а для уровня
                                                5 нм и менее рассматривается применение Rb
   В настоящее время повышение функцио-         в качестве материала металлизации).
нальности приборов обеспечивается мировыми          Если говорить о технологиях в целом,
производителями скорее за счет эволюционных     то можно рассматривать два направления: объ-
решений, чем революционных. Так, одним из ос-   емный кремний и обедненный кремний или
новных трендов является применение принципов    FD-SOI (развивают GF, STM и Samsung). Пре-
2D- и 3D-сборки с применением интерпозеров      имущества технологии FD-SOI заключаются
и TSV, которые были разработаны еще 8–10 лет    в возможности получения для элементной базы
назад, а свое развитие получили лишь после      характеристик, сравнимых с характеристиками
того, как было разработано технологическое      ЭКБ на объемном кремнии, но с меньшими то-
оборудование, поддерживающее эти конструк-      пологическими размерами. Так, по характери-
тивно-технологические исполнения и позволя-     стикам элементной базы процесс 22 нм FD-SOI
ющее организовать их серийное производство.     сравним с процессом 14 нм на объемном крем-
   Что касается повышения функциональ-          нии. Однако основным недостатком примене-
ности приборов на уровне чипов, то опять же     ния технологии FD-SOI является бόльшая слож-
до технологического уровня 10–5 нм исполь-      ность проектирования и существенно меньшее
зуют решения, которые начали применяться        количество доступных на рынке IP-блоков.
6–10 лет назад. Например, принцип мульти-
паттернирования начал использоваться для                Павел Игнатов, директор по развитию
технологического уровня 28 нм и менее для                          технологий АО «НИИМЭ»
того, чтобы обойти физические ограничения

   ла в октябре 2018 г. При этом был про-           ному применению EUV-литографии, ко-
   пущен этап иммерсионной литографии               торая используется для формирования
   и осуществлен переход к непосредствен-           8–10 слоев.

   18    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Прорывные технологии микро- и радиоэлектроники

   GlobalFoundries. Корпорация рассма-                на развитии и совершенствовании 14-нм
тривает свой 22-нм FD-SOI (полностью                  и 12-нм FinFET-процессов и фирменной
обедненный     «кремний-на-изоляторе»,                FD-SOI-технологии.
22FDХ) процесс как дополняющий и рас-
ширяющий возможности фирменной                                               ***
14‑нм FinFET-технологии. Утверждается,
что платформа 22FDX обеспечивает про-                    В течение последних 50 лет микроэ-
изводительность, очень близкую к про-                 лектроника достигла значительных успе-
изводительности 14-нм FinFET-процесса,                хов в повышении производительности
но при этом производственные издержки                 как производства, так и собственно ИС.
остаются на уровне 28-нм технологии.                  Хотя отрасль уже преодолела многие
   В августе 2018 г. GlobalFoundries су-              стоявшие перед ней проблемы, по мере
щественно поменяла стратегию раз-                     дальнейшего развития появляются но-
вития – ​остановила разработку 7-нм                   вые, все более сложные. Несмотря
процесса из-за огромных затрат на раз-                на это проектировщики и изготовители
вертывание производства по данной                     микросхем в целях повышения функцио-
технологии, а также из-за малого числа                нальности приборов разрабатывают ре-
клиентов, планирующих ее использо-                    шения, являющиеся скорее революцион-
вать. Усилия корпорации сосредоточены                 ными, чем эволюционными.

Advances in Logic IC Process Technology Move Forward. IC Insights, Research Bullettin, February 21, 2019:
www.icinsights.com.

      ПУБЛИКАЦИИ В НАУЧНЫХ ЖУРНАЛАХ «ВОПРОСЫ РАДИОЭЛЕКТРОНИКИ»
      И «РАДИОПРОМЫШЛЕННОСТЬ»

      Преференции для авторов                              Этапы редакционного процесса
      ■■ Бесплатная публикация статей.                     Рецензирование, редактирование, корректура,
      ■■ Качественное двустороннее анонимное ре-           верстка, согласование с авторами, публикация.
         цензирование.                                     На всех этапах редакция взаимодействует с ав-
      ■■ Сжатые сроки публикации.                          торами.
      ■■ Серьезная редактура.
      ■■ Высокий уровень перевода.                         Индексирование опубликованных статей
      ■■ Индекс DOI каждой статье.                         РИНЦ, EBSCO, Google Scholar, РГБ, ВИНИТИ.
      ■■ Помощь в продвижении научной публика-
         ции.

      Подача рукописи
      Рукопись статьи, оформленную в соответствии
      с правилами представления статей (размеще-
      ны на сайтах www.radioprom.org, vre.instel.ru;
      могут быть высланы по запросу), а также акт
      экспертизы присылают по e-mail: publish@
      instel.ru или с помощью электронной формы
      на сайте www.radioprom.org.

                 Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    19
ПЕРСПЕКТИВНЫЕ МАТЕРИАЛЫ

Новый способ непосредственной
записи на однослойные
полупроводники
Ключевые слова: атомно-силовой микроскоп (АСМ), диселенид вольфрама (WSe2), квантовая
«каллиграфия», однофотонные излучатели, непосредственная запись.

     Ученые из Научно-исследовательской лаборатории ВМС США (U. S. Naval
  Research Laboratory, NRL) и Научно-исследовательской лаборатории ВВС (Air
  Force Research Laboratory, AFRL) разработали способ непосредственной запи-
  си однофотонных квантовых источников излучения (SPE) на однослойные полу-
  проводники, такие как диселенид вольфрама (WSe2). Однофотонные квантовые
  излучатели – ​ключевые компоненты в широком спектре зарождающихся кван-
  товых технологий, включая вычисления, безопасную связь, зондирование и ме-
  трологию.

   В отличие от обычных светоизлучаю-         наноуглубления создается сильно лока-
щих диодов, которые испускают милли-          лизованное поле напряженности дефор-
арды фотонов одновременно для фор-            мации, которое создает одноэлементное
мирования постоянного потока света,           излучение фотона в WSe2. Коррелиро-
идеальный однофотонный излучатель             ванные по времени измерения, выпол-
генерирует ровно один фотон по требо-         ненные в AFRL, подтвердили подлинно
ванию, причем каждый фотон неотличим          однофотонную природу этих состояний.
от другого. Эти характеристики важны          Сформированные излучатели обладают
для находящихся в стадии разработ-            большой яркостью, производят значи-
ки квантовых технологий на основе ис-         тельное число одиночных фотонов и яв-
пользования фотонов. Кроме того, такие        ляются спектрально стабильными, что
возможности должны быть реализованы           отвечает ключевым требованиям для но-
в материальной платформе, обеспечива-         вых приложений.
ющей точное, повторяемое размещение              Квантовая «каллиграфия» позволяет
однофотонных излучателей полностью            детерминистически размещать и проек-
масштабируемым образом, совмести-             тировать в реальном масштабе времени
мым с существующими технологиями              произвольные структуры однофотонных
производства полупроводниковых ИС.            излучателей для поверхностного соеди-
   Для создания наноразмерных впадин          нения с фотонными волноводами, по-
или отступов в одном монослое WSe2            лостями и плазмонными структурами.
на подложке из полимерной пленки уче-         Показано также, что при создании боль-
ные NRL использовали атомно-силовой           ших матриц или моделей квантовых из-
микроскоп (AFM) (см. рисунок). Вокруг         лучателей для изготовления квантовых

20    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Перспективные материалы

                              а)

                                                                                                              Источник: Научно-исследовательская лаборатория ВМС США
                               б)                         в)

                                             10 нм                     10 нм

Наконечник атомно-силового микроскопа (AFM) вдавливается в структуру дихалькогенида на осно-
ве переходных металлов или полимера для формирования локальной напряженности деформации
(а). Структурированное однофотонное излучение в WSe2, индуцированное AFM-вдавливанием букв
«NRL» и «AFRL» (б). Отступы AFM производят «украшения» однофотонного излучателя на моно-
слое WSe2 типа «рождественская елка» (в)

фотонных систем в масштабе пластин                     ких как безопасная связь, распознание
будет эффективен подход на основе на-                  и опознание, квантовые вычисления. Та-
ноимпринтинговой технологии.                           кие применения обеспечивают неуязви-
   Исследователи особо подчеркивают                    мую для прослушивания или дешифро-
важность данного открытия: помимо воз-                 вания связь между удаленными силами
можности универсального размещения                     МО США, что является необходимым тре-
однофотонных излучателей полученные                    бованием для обеспечения безопасности
результаты представляют общую мето-                    (в частности, истребителей и других ЛА).
дологию придания напряженности де-                         Квантовые вычисления на ИС обеспе-
формации двумерным (2D) материалам                     чивают возможность быстрого анали-
с нанометровой точностью. что станет                   за непосредственно на борту ЛА очень
неоценимым инструментом дальнейших                     больших наборов данных, полученных
исследований и будущих применений                      с помощью массивов датчиков. Соот-
методики напряженности деформации                      ветственно, отпадает необходимость
2D-приборов.                                           передачи на удаленный вычислительный
   Результаты исследования открывают                   центр всего набора полученных данных,
путь к использованию 2D-материалов                     что снижает требования к пропускной
в качестве твердотельных носителей од-                 способности. Результаты исследований
нофотонных излучателей в применениях,                  опубликованы в январском (2019 г.) вы-
в которых заинтересовано МО США, та-                   пуске журнала ACS Nano.

NRL, AFRL Develop Direct-Write Quantum Calligraphy in Monolayer Semiconductors. Solid State Techno­
logy Magazine, February 2019: https://electroiq.com/2019/02/nrl-afrl-develop-direct-write-quantum-calligra-
phy-in-monolayer-semiconductors/

                 Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    21
ПРОИЗВОДСТВЕННАЯ БАЗА

Заводы по обработке пластин:
ситуация в 2009–2018 гг.
и ближайшие перспективы
Ключевые слова: диаметр пластины, оборудование, производственные мощности,
технологический процесс.

     Развитие производственных мощностей полупроводниковой промышлен-
  ности неизбежно связано с закрытием и перепрофилированием устаревших
  производств, открытием новых заводов. При этом главным фактором выступа-
  ет не столько прогресс собственно технологических процессов, сколько спрос
  со стороны производителей конечных систем. Так, например, развитие Интерне-
  та вещей привело к увеличению спроса на приборы, изготавливаемые на 200-мм
  пластинах.

   По данным исследовательской кор-           ■	закрытие и перепрофилирование не-
порации IC Insights, за период 2009–             рентабельных производств (обычно
2018 гг. по всему миру было закрыто              «зрелые» заводы по обработке пла-
или перепрофилировано 97 заводов                 стин диаметром ≤200 мм).
по обработке пластин различного диа-
метра. Перепрофилирование в основ-               Из общего объема закрытых и пере-
ном заключалось в отказе от производ-         профилированных производств на за-
ства ИС на конкретных предприятиях            воды по обработке 300-мм пластин
в пользу изготовления MEMS и изделий          пришлось всего 10,3% предприятий
оптоэлектроники (сапфировые техноло-          (рис. 1). Первой ласточкой стала корпо-
гии, фотоприемники, волноводы, сило-          рация Qimonda (возникшая в середине
вые полупроводниковые приборы и т. п.).       2000-х гг. на базе отделения Siemens
К основным причинам закрытия и пере-          Semiconductor по производству ДОЗУ),
профилирования мощностей по произ-            обанкротившаяся и ушедшая из полу-
водству ИС можно отнести:                     проводникового бизнеса в 2009-м. При
                                              этом был закрыт первый в мире завод
■	недавно завершившуюся волну сделок         по обработке 300-мм пластин. Наиболь-
   слияний и поглощений (2015–2017 гг.);      шее число случаев закрытия и пере-
■	переход  значительного числа произ-        профилирования отмечено по заводам,
   водителей ИС на технологические            обрабатывавшим пластины диаметром
   процессы с топологиями 20 нм и ме-         150 мм (43,3%), три таких предприятия
   нее (изготавливаются на современ-          были закрыты или перепрофилированы
   ных заводах по обработке 300-мм            в течение 2018 г. К ним относятся два
   пластин);                                  завода японской корпорации Renesas –​

24    Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019
Производственная база

                                              42
    45
    40
    35
    30
                             24
    25
    20
    15                                                      12

                                                                                          Источник: IC Insights
              10                                                            9
    10
     5
     0
            300             200              150           125            100

Рисунок 1. Количество закрытых и перепрофилированных заводов по диаметру обрабатываемых
пластин за период 2009–2018 гг.

предприятие в г. Конан (префектура             ния. К июню 2019 г. корпорация Texas
Коти), производившее аналоговые и ло-          Instruments закроет свой завод по обра-
гические приборы, а также некоторые            ботке 200-мм пластин (GFAB в г. Гринок,
устаревшие микрокомпоненты, было               Шотландия) для производства аналого-
закрыто, а завод в г. Оцу (префектура          вых ИС. Renesas планирует закрыть еще
Сига) был перепрофилирован и теперь            два завода по обработке 150-мм пластин
производит только оптоэлектронные              (в г. Оцу, префектура Сига, и в г. Убе,
приборы. Третий завод (Fab 1), принад-         префектура Ямагути) в 2020 или 2021 г.
лежавший фирме Polar Semiconductor             Кроме того, свой 150-мм завод в Милпи-
(ныне Sanken, г. Блумингтон, шт. Мин-          тасе (шт. Калифорния, США) намерена
несота, США) и выпускавший аналого-            закрыть корпорация Analog Devices –​
вые и дискретные полупроводниковые             в феврале 2021 г.
приборы, а также оказывавший услуги               С географической точки зрения боль-
кремниевого завода, был закрыт.                ше всех заводов, по сравнению с други-
   Специалисты корпорации IC Insights          ми странами и регионами, было закрыто
прогнозируют, что в ближайшие годы             в Японии – ​36 предприятий, или 37,1%
будет закрыто или перепрофилировано            от общего показателя. На Северную
относительно большое число существу-           Америку за рассматриваемый период
ющих полупроводниковых производств.            пришлось 31,9% закрытий, на Евро-
Причин несколько – ​в частности, стреми-       пу – ​18,6%, а на страны Азиатско-Тихо-
тельный рост стоимости новых заводов           океанского региона – ​12,4%. С учетом
по обработке пластин и оборудования            количества закрытых в Японии заводов
для них, а также переход все большего          и намного меньшего числа открытых
числа производителей ИС на модели              производств неудивительно, что на эту
fab-lite2 и fabless3, не требующих наличия     страну, когда-то на равных конкури-
больших объемов мощностей или во-              ровавшую с США, теперь приходится
обще не нуждающихся в них. На данный           всего 5% мировых капиталовложений
момент уже известно о планах закрытия          в полупроводниковую промышленность
или перепрофилирования по крайней              (рис. 2) [1].
мере еще пяти заводов. Так, корпорация            Следует отметить, что растущий
Samsung переведет один из своих заво-          спрос на приборы для Интернета ве-
дов по производству схем памяти на 300-        щей и некоторых других применений
мм пластинах (Line 13) на изготовление         (включая автомобильную и промыш-
формирователей сигналов изображе-              ленную электронику), для изготовления

              Зарубежная электронная техника, вып. 6 (6680) от 21.03.2019    25
Вы также можете почитать