ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...

Страница создана Адильхан Грибов
 
ПРОДОЛЖИТЬ ЧТЕНИЕ
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
Выпуск 7 (6731) от 8 апреля 2021 г.

Экспресс-информация
ПО ЗАРУБЕЖНОЙ
ЭЛЕКТРОННОЙ ТЕХНИКЕ

                                        Intel принимает бизнес-
                                                 модель IDM 2.0

                                       Материалы ISSCC‑2021:
                                                    чиплеты

                                          Перспективы рынка
                                          СФ‑блоков до 2027 г.

                                          Micron отказывается
                                         от 3D Xpoint ради CXL

                                      Xilinx пытается облегчить
                                      программирование FPGA

                                                ISSN 2500-3844
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
СЕГОДНЯ В ВЫПУСКЕ

 1    Компетентное мнение                     Издатель
                                              АО «ЦНИИ «Электроника»

                                              Главный редактор

 3    Intel принимает бизнес-модель IDM 2.0   Алена Фомина, д. э. н., доц.

                                              Авторы материалов
                                              Михаил Макушин,

 8    Материалы ISSCC‑2021: чиплеты
                                              Иван Черепанов

                                              Над выпуском работали
                                              Григорий Арифулин,
                                              Людмила Железнова,
 17   Перспективы рынка СФ‑блоков             Анастасия Никитина
      до 2027 г.
                                              Реклама
                                              publish@instel.ru
 21   Micron отказывается от 3D Xpoint ради   +7 (495) 940-65-24

      CXL                                     Адрес редакции
                                              127299, г. Москва,
 24   Xilinx пытается облегчить               ул. Космонавта Волкова, д. 12
                                              +7 (495) 940-65-24
      программирование FPGA                   www.instel.ru
                                              publish@instel.ru
 28   CEA-Leti об экосистеме
                                              Экспресс-информация
      КМОП‑формирователей изображения         по зарубежной электронной
                                              технике издается с 1971 г.,

 33   Huawei остается ведущим                 в электронной версии – с 2003 г.

      поставщиком оборудования связи          Издание зарегистрировано
                                              в Федеральной

 36   Amazon: место компании
                                              службе по надзору
                                              за соблюдением
      в современном автопроме                 законодательства в сфере
                                              массовых коммуникаций

 44
                                              и охране культурного наследия
      LF Energy и Sony CSL планируют          (свидетельство ПИ № 77–13626
      сотрудничество в проекте микросетей     от 20 сентября 2002 г.).

      с открытым исходным кодом

 48   Глоссарий
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
Компетентное мнение

   Государство играет заметную роль в разви-              есть – ​в первую очередь на два закона, приня-
тии и поддержке высокотехнологичных отрас-                тые Конгрессом США в начале января 2021-го
лей за рубежом – ​особенно это касается ми-               в рамках утверждения оборонных расходов.
кроэлектроники. По мере масштабирования                   Это «Закон об американских кремниевых за-
ИС микроэлектроника становится все более                  водах» и «Закон о стимулировании разработки
капиталоемкой, в связи с чем в числе компа-               и производства полупроводниковых приборов
ний, способных производить новейшие 7/5‑нм                в Америке». Первый предусматривает предо-
ИС, фактически остались только Samsung                    ставление грантов для стимулирования соз-
и TSMC. Intel допустила серьезную ошибку, от-             дания новых предприятий по производству
казавшись в свое время от EUV-литографии                  ИС и реализации программ НИОКР, а также
при освоении технологий с проектными нор-                 выделение 5 млрд долл. на государственно-
мами менее 10 нм – ​использование 193-нм                  частное сотрудничество по строительству или
литографии и методик многократного фор-                   модернизации заводов по обработке пластин
мирования рисунка оказалось слишком                       для обеспечения потребностей национальной
сложным, дорогим и проблематичным, и Intel                безопасности, разведки и критической инфра-
задержалась с освоением в массовом произ-                 структуры. Среди механизмов государствен-
водстве 10-нм процессов на 3–4 года. За это               ной поддержки и финансирования организа-
время Samsung и TSMC ушли далеко вперед.                  ции производства ИС и НИОКР, описываемых
Трудности, с которыми столкнулась Intel, выну-            вторым, особо интересным выглядит введе-
дили ее передать производство своих 7/5-нм                ние 40%-ного инвестиционного налогового
процессоров на мощности других фирм. Пред-                вычета (ITC) для аттестованного полупровод­
почтение отдается TSMC как «чистому» крем-                никового оборудования или инвестиционных
ниевому заводу (foundry), т. е. контрактному              расходов на производство полупроводнико-
производителю ИС, в то время как Samsung                  вых приборов до 2024 г. Очевидно, что власти
является прямым конкурентом Intel, поэтому                США независимо от партийной принадлежно-
ее мощности американская корпорация ис-                   сти стремятся вернуть на национальную тер-
пользует только в крайнем случае.                         риторию (создавая заново или модернизируя
   Ситуация породила слухи о возможности                  существующие) производственные мощно-
преобразования Intel из вертикально-инте-                 сти по изготовлению перспективных изде-
грированного производителя полупроводни-                  лий микроэлектроники. Этим они надеются
ковых приборов (IDM) в fabless-фирму, т. е.               сохранить технологическое превосходство,
в «чистого» разработчика и проектировщика                 а за счет него – ​и мировую гегемонию. Кор-
ИС. Однако на последнем Форуме разработчи-                порация Intel не просто пользуется данной си-
ков Intel (Intel Designer Forum, IDF) руководство         туацией, но и является одной из тех фирм, что
представило стратегию IDM 2.0, предполага-                формировали ее как нерыночное средство со-
ющую глубокую модернизацию IDM-модели                     хранения и увеличения своей конкурентоспо-
корпорации. Один из ее элементов – ​созда-                собности на мировом рынке.
ние двух новейших заводов по обработке пла-
стин за 20 млрд долл. Утверждается, что Intel                                          Михаил Макушин,
сможет построить их за свои деньги, но рас-                               главный специалист управления
чет на государственную поддержку все равно                                           развития инноваций

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.            1
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
Производственные мощности

Intel принимает бизнес-
модель IDM 2.0
                                       Ключевые слова: бизнес-модель, конкурентные преимущества,
                                                           производственные мощности, процессы.

В последние годы корпорация Intel столкнулась с проблемами при освоении технологий с проект-
ными нормами 10 нм и менее. Из-за этого ее конкуренты Samsung и TSMC вырвались вперед,
освоив 7/5-нм технологические процессы. Пытаясь исправить ситуацию, Intel решила реализовать
концепцию IDM 2.0, предполагающую дальнейшее развитие технологий, расширение оказания ус-
луг кремниевого завода и собственной производственной базы.

   К настоящему моменту традиционная мо-                  мощностях избранным клиентам (реализу-
дель вертикально-интегрированного произво-                ющим свои ИС по технологиям Intel). В по-
дителя полупроводниковых приборов (IDM),                  следние годы Intel испытывала значительные
подразумевающая их разработку, проектиро-                 трудности с освоением производственных
вание и производство, испытывает серьезный                технологий с проектными нормами 10 нм
кризис. Этот кризис длится уже около 20 лет               и менее, причем если проблемы с 10‑нм тех-
и связан с увеличением, по мере масштабиро-               процессом в 2020 г. удалось решить, то 7-нм
вания ИС, затрат на их проектирование, раз-               ИС на собственных мощностях корпорация
работку новых технологических процессов                   сможет производить не ранее 2023 г. Соот-
и сооружение новых заводов по обработке                   ветственно, в ближайшие годы 4-нм централь-
пластин. Большинство IDM к настоящему вре-                ные процессоры Intel будут производиться
мени преобразовались в fabless-фирмы (либо                на мощностях TSMC [1]. То же самое касается
напрямую, либо через этап fab-lite1), в чистом            и других ИС Intel, спроектированных по про-
виде данная модель существует только в от-                ектным нормам 5/3 нм. Производить такие
дельных нишах рынка полупроводниковых                     ИС в настоящее время могут только Samsung
приборов, характеризующихся высокой сте-                  и TSMC [2].
пенью монополизации. Крупнейшие IDM, та-                     Такая ситуация породила в полупрово-
кие как Intel и Samsung, для сохранения кон-              дниковой промышленности слухи о переходе
курентоспособности вынуждены прибегать                    Intel на fabless-модель. Но эти предположе-
к использованию модели кремниевого заво-                  ния не оправдались – ​недавно корпорация
да: Samsung создала специализированное                    заявила о намерении модифицировать свою
foundry-подразделение, а Intel предоставля-               IDM-модель и представила концепцию
ет услуги кремниевого завода на свободных                 IDM 2.0.

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.         3
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
Производственная база                                                                    zet.instel.ru

Причины сохранения корпорацией
Intel собственного производства
   В условиях американо-китайской «Холод-            больший объем мощностей по производству
ной технологической войны», ускорения циф-           ИС на подконтрольных территориях. Корпора-
ровизации экономики на фоне пандемии                 ция Intel не могла не ухватиться за такую воз-
COVID‑19 и ряда других причин в развитых             можность, тем более что, по прогнозам, объ-
странах набирает популярность мнение, что            ем рынка услуг кремниевых заводов в 2025 г.
при принятии решения о месте размещения              составит не менее 100 млрд долл. Два новых
высокотехнологичных производств наряду               завода по обработке пластин, которые пред-
с вопросами затрат должны рассматриваться            полагается построить в рамках реализации
вопросы целостности цепочки поставок, бла-           концепции IDM 2.0, будут соответствовать
госостояния национальной экономики и на­             всем требованиям рынков США и ЕС, а также
циональной безопасности.                             оборонным потребностям США и НАТО.
   Оборонные ведомства США, стран НАТО                  Как известно, Intel уже оказывает услуги
и их союзников всегда остро осознавали, что          кремниевого завода. В этой области ее клиен-
в отношении критически важных материалов             там доступен довольно обширный портфель
и товаров полагаться на потенциального про-          сложнофункциональных (СФ) блоков2. Он
тивника (в настоящее время – ​КНР) довольно          включает в себя процессорные ядра х86, а так-
проблематично. После сбоев в цепочках по-            же ядра фирмы ARM и процессоров RISC‑V3,
ставок, вызванных американо-китайскими               графических и медиапроцессоров, дисплеи,
противоречиями и пандемией COVID‑19, почти           межсоединения, оптоволоконные решения
все они пришли к выводу, что производствен-          и т. п. Два новых завода предполагается по-
ные мощности микро- и радиоэлектроники               строить на территории уже существующего
должны быть размещены более равномер-                комплекса Intel (кампус Окотильо в Чэндлере,
но, желательно – ​на территориях западных            шт. Аризона). В дальнейшем новые линии мо-
стран и их союзников, уже имеющих соответ-           гут быть созданы и за пределами США – ​в уже
ствующие опыт и инфраструктуру. Недавно              существующих производственных комплек-
возникший дефицит ИС еще раз подтвердил,             сах в Ирландии и Израиле.
что многие фирмы по всему миру (в том чис-              Пока речь идет о том, что Intel собирается
ле производящие автомобильную электрони-             строить заводы в Аризоне на собственные
ку, смартфоны, игровые консоли и т. п.) про-         средства. Реализация стратегии IDM 2.0 не за-
сто отчаянно нуждаются в гарантированном             висит от вложений штата и федерального пра-
доступе к мощностям по производству ИС.              вительства. Правда, запрос на субсидии и на-
Проще говоря, западный мир хочет получить            логовые льготы уже подан [3].

Суть концепции IDM 2.0 корпорации Intel
  Стратегия корпорации Intel, получившая               Во-первых, одним из конкурентных пре-
наименование IDM 2.0, состоит из трех основ-         имуществ корпорации Intel, обеспечивающим
ных элементов, которые позволят компании             возможность оптимизации продукции, повы-
укрепить лидерские позиции в области техно-          шения экономической эффективности и устой-
логических процессов и технологий изготов-           чивости поставок, является собственная сеть
ления продукции.                                     предприятий в разных странах мира, осущест-

4               Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
zet.instel.ru                                                                                      Производственная база

вляющих поточно-массовое производство ИС.                          фики и чипсетов. Предполагается, что взаимо-
Корпорация по-прежнему намерена произво-                           действие Intel с независимыми кремниевыми
дить бóльшую часть продукции на собствен-                          заводами будет охватывать ряд модульных
ных мощностях. Отмечается, что разработка                          конструкций на основе перспективных техно-
фирменного 7-нм технологического процес-                           логических процессов. В частности, это при-
са с использованием EUV-литографии идет                            боры на основе вычислительных приложений
успешно. Предполагается, что опытные об-                           Intel как для клиентских сегментов, так и для
разцы 7-нм центральных процессоров Meteor                          сегментов центров обработки данных (ЦОД).
Lake появятся в июне 2021 г. [4], а их серийное                    Это обеспечит увеличение гибкости и возмож-
производство намечено на 2023 г. Процессо-                         ностей масштабирования, необходимых для
ры будут производиться с использованием                            оптимизации маршрутных карт Intel с точки
фирменной технологии Foveros4 (рис. 1) (есть                       зрения затрат, производительности, сроков
сведения, что они могут выпускаться и по 5-нм                      вывода новой продукции на рынок и т. п.,
техпроцессу на мощностях TSMC) [3]. Наконец,                       и даст корпорации уникальные конкурентные
корпорация Intel намерена упрочить свое ли-                        преимущества.
дерство в области перспективных методик                               Третий элемент стратегии IDM 2.0 – ​созда-
корпусирования, позволяющих выпускать                              ние современного бизнеса по оказанию услуг
2,5D и 3D ИС с различными сочетаниями СФ-                          кремниевого завода, Intel Foundry Services
блоков и чиплетов5.                                                (IFS). Корпорация планирует стать одним
   Во-вторых, предполагается расширять вза-                        из крупнейших центров оказания услуг крем-
имодействие и использование услуг внешних                          ниевого завода для США и Европы с целью
кремниевых заводов. Сейчас ряд кремниевых                          удовлетворения постоянно растущего спроса
заводов, включая TSMC и Samsung, произво-                          на полупроводниковые приборы. IFS станет
дят некоторые типы изделий Intel – ​от ИС для                      автономным подразделением Intel. Конку-
средств связи и обеспечения связности до гра-                      рентных преимуществ планируется достичь

                              Кристалл HBM* ДОЗУ                TSV**

                              Кристалл HBM* ДОЗУ              Микростолбиковые выводы
                                                                                                                          Микростолбиковые
                              Кристалл HBM* ДОЗУ                            EMIB***                                          выводы F2F
          Столбиковые
                              Кристалл HBM* ДОЗУ                        Кристалл процессора/         Кристалл процессора/FPGA/
             выводы                                                      FPGA/памяти/РЧ ИС                 памяти/РЧ ИС
     Стандартная                                                                                                                   TSV**
                              Базовый кристалл                                     Базовый логический кристалл
     трассировка
    модуля/корпуса
   Шариковые                                                    Подложка модуля/корпуса
 выводы модуля/
                                                                                                                                                 Источник: Intel

    корпуса

                                                                 Печатная плата

                                   Короткие шины

Рисунок 1. Схематичное отображение технологии Foveros
* HBM (high-bandwidth memory) – память с высокой пропускной способностью
** TSV (through-silicon via) – ​одна из технологий 2,5/3D-корпусирования, предполагающая этажерочное расположение кристаллов или
ядер кристаллов с формированием межсоединений сквозь подложку ИС или кремниевую пластину с целью экономии занимаемого
пространства, снижения потребляемой мощности и увеличения производительности и скорости внутрисхемной связи.
*** EMIB (embedded multi-die interconnect bridge) – ​встраиваемое мостовое межсоединение многокристального модуля, методика,
позволяющая объединять в одном гетерогенном модульном процессоре блоки, изготовленные по процессам с разными
топологическими нормами и c разной функциональностью (ядра процессоров, блоки памяти, логика и т. п.)

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.                                                  5
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
Производственная база                                                                     zet.instel.ru

за счет сочетания в рамках IFS передовых              Intel и Межуниверситетский центр микроэлек-
технологических процессов формирования                троники (IMEC, Левен, Бельгия).
кристаллов ИС и перспективных методик                    При подготовке своей новой стратегии Intel
их сборки, корпусирования и тестирования              столкнулась с вопросом о взаимодействии
(включая 2,5D/3D-корпусирование). В распо-            с конкурентами. Действительно, кто будет
ряжение IFS передается широкий портфель               полагаться на конкурента (Intel) при произ-
СФ-блоков (включая ядра процессоров ARM,              водстве своих новейших ИС? Поэтому Intel
RISC‑V и x86) [4].                                    предприняла ряд шагов во избежание этой
   К корпорациям, одобрившим радикаль-                проблемы, получив одобрение своей идеи
ное расширение деятельности Intel в области           у всех потенциальных клиентов и сформи-
оказания услуг кремниевого завода, относят-           ровав IFS как отдельную, автономную верти-
ся Amazon, Cisco, Ericsson, Google, IBM, IMEC,        кально-организованную структуру с собствен-
Microsoft и Qualcomm. Поддержал стратегию             ной отчетностью по прибылям и убыткам [3].

Расширение производственных мощностей
   Как уже говорилось, для ускорения реали-           ванного и высокооплачиваемого персонала
зации стратегии IDM 2.0 предусмотрено со-             предприятий после ввода в строй составит
оружение двух новых заводов по обработке              также 3 тыс. человек. Кроме того, эти два за-
пластин в кампусе Окотильо. В этом комплек-           вода позволят создать еще 15 тыс. долгосроч-
се с 2020 г. уже действует завод по обработке         ных рабочих мест на смежных производствах,
пластин с использованием 10-нм процесса –​            в сфере обслуживания и т. п. Вероятно, будет
Fab42. Строительство двух новых заводов               и следующий этап расширения производ-
начнется в 2021 г. Общий бюджет их соору-             ственной базы корпорации и создания новых
жения составляет 20 млрд долл., на строи-             предприятий в США, Европе и других странах
тельстве будет задействовано более 3 тыс.             мира – ​объявление об этом будет сделано
рабочих, численность высококвалифициро-               до конца 2021 г.

Технологические проблемы и сотрудничество
   Заявление о реализации стратегии IDM               многие проблемы удалось решить. Благодаря
2.0 было сделано на последнем Форуме раз-             этому первые 7-нм серверные процессоры
работчиков Intel (Intel Developers Forum, IDF).       Granite Rapids появятся в 2023 г. (правда, при
Представители корпорации также признали,              их производстве возможно использование
что ошиблись в своем слишком осторожном               мощностей TSMC).
отношении к технологии EUV-литографии при                Тем не менее Samsung и TSMC уже произво-
разработке 10-нм технологических процессов.           дят 5-нм ИС и разрабатывают 3-нм технологи-
Нежелание доверять этой технологии привело            ческие процессы [3]. Догнать их в одиночку Intel
к существенному усложнению конструкций                будет трудно, поэтому ей потребуется техноло-
и процесса проектирования 10-нм ИС, пробле-           гическая помощь со стороны других фирм. Од-
мам с их освоением в производстве. То же са-          ной из них стала корпорация IBM, сотруднича-
мое повторилось и с 7-нм техпроцессами. Сей-          ющая с Intel уже около 50 лет [4]. Конкретные
час же, когда Intel освоила EUV-литографию,           планы сотрудничества в области разработки

6                Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
zet.instel.ru                                                                         Производственная база

                       Кристалл 1                      Кристалл 2                       Кристалл 3

                                                    Подложка FCBGA*
                                                     Пластина/EMIB

                                                                                                                         Источник: Intel
                       Межсоединения с высокой плотностью размещения локализованы во встроенном кремниевом мостике

                       Вместо типичного кремниевого интерпозера используется несколько кремниевых мостиков

                       Технология изготовления подложек:
                            смешанные критические размеры и смешанные шаги столбиковых выводов (на кристаллах)

                            питание, заземление и некоторые сигналы проходят на печатную плату сквозь органическую
                            подложку корпуса/модуля

Рисунок 2. Схематичное отображение технологии EMIB
* FCBGA – ​корпус с матричным расположением шариковых выводов и перевернутым кристаллом.

5/3-нм технологии пока не разглашаются.                        специалисты рассматривают технологию
Наибольшее внимание уделяется разработке                       EMIB как реализацию чиплет-подхода – ​и пред-
и совершенствованию 7-нм технологического                      ставители Intel подтверждают, что переходят
процесса. В связи с этим часто подчеркивает-                   от принципа «система-на-кристалле» (SoC)
ся значение таких технологий корпусирования                    к принципу «система-в-модуле» (SiP) на осно-
Intel, как EMIB (рис. 2) и Foveros. Отраслевые                 ве чиплетов [3].

                 1. For the Release of 4-nm Intel Products, TSMC Will Have to Allocate an Entire Enterprise.
                 World Today News, January 9, 2021: https://phonemantra.com/for-the-release-of‑4nm-intel-
                 products-tsmc-will-have-to-allocate-an-entire-enterprise/

                 2. Manners David. Foundry Revenue to Grow 23.8% This Year. Electronics Weekly, November
                 19, 2020: https://www.electronicsweekly.com/news/business/foundry-revenue-grow‑23-8-
                 year‑2020-11/

                 3. Santo Brian. Intel Surprises with $20B Expansion of Foundry Business. EE Times, March
                 24, 2021: https://www.eetimes.com/intel-surprises-with‑20b-expansion-of-foundry-business/

                 4. Intel Launches ‘IDM 2.0’ Strategy, Including Two New U. S. Fabs and Foundry
                 Services. Semiconductor Digest, March 24, 2021: https://www.semiconductor-digest.
                 com/2021/03/24/intel-launches-idm‑2–0-strategy-including-two-new‑20b-fabs-and-foundry-
                 services/

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.                          7
ПО ЗАРУБЕЖНОЙ ЭЛЕКТРОННОЙ ТЕХНИКЕ - Экспресс-информация - ЦНИИ ...
Микроэлектроника

Материалы ISSCC‑2021:
чиплеты
                                      Ключевые слова: масштабирование, «системы-на-кристалле»,
                                                          СФ-блоки, функциональность, чиплеты.

В области системной интеграции наблюдается тенденция перехода от наращивания функциональ-
ных возможностей «систем-на-кристалле» (SoC) к технологии, интегрирующей каждый СФ-блок как
физически отдельный чиплет. Новая парадигма наиболее удачно была представлена на специали-
зированной секции 68-й Международной конференции по твердотельным ИС (International Solid
State Circuit Conference, ISSCC), впервые проводившейся в 2021 г. в виртуальном режиме.

   О тенденции перехода от интеграции функ­           же технологические тенденции и экосистемы,
циональности «системы-на-кристалле» к тех-            необходимые для ускорения внедрения нового
нологии, в которой каждый интегрируемый               подхода к проектированию. Это доклады спе-
СФ-блок является отдельным чиплетом, уже              циалистов корпораций Advanced Micro Devices
сказано достаточно много. На одной из секций          (AMD, Санта-Клара, шт. Калифорния, США),
ISSCC‑2021 этой тематике было посвящено во-           Taiwan Semiconductor Manufacturing (TSMC,
семь докладов, при этом в трех из них описыва-        Синьчжу, Тайвань) и Межуниверситетского цен-
лись системы, уже выведенные на рынок, а так-         тра микроэлектроники (IMEC, Левен, Бельгия).

AMD, начало работ
   Новейшие процессоры корпорации AMD хо-             родилась благодаря замедлению и даже пре-
рошо известны своим чиплетным подходом,               кращению действия т. н. «закона Мура», с од-
который позволил оптимизировать проекти-              ной стороны, и достижениям в области мето-
рование и использовать при создании кон-              дик корпусирования – ​с другой стороны.
кретных кристаллов процессоров наиболее                  Основным коммерческим аргументом ис-
подходящие проектные нормы. В качестве                пользования технологии чиплетов, насколько
примера на ISSCC‑2021 рассматривалась раз-            это касается корпорации AMD, по-видимому,
работка серверного процессора EPYC.                   стало снижение удельных издержек при фор-
   Идея схем, состоящих из нескольких кри-            мировании годного кристалла. Увеличение вы-
сталлов ИС, не нова. В виде многокристально-          хода годных – ​одно из естественных преиму-
го модуля (МСМ) она возникла еще во времена           ществ снижения размеров кристаллов ИС. При
керамических подложек, а затем была реали-            этом каждая пластина, которую обрабатывает
зована и на органических подложках. Новая             для AMD кремниевый завод, содержит мень-
эра многокристальных (чиплетных) подходов             шее число отказавших кристаллов. Поскольку

8                Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
zet.instel.ru                                                                              Микроэлектроника

дефект, возникший на любом месте большого                         Данный отрицательный момент связан
кристалла, способен вывести его из строя, раз-                 с тем, что «дополнительные» 10% площади
деление большого кристалла на четыре кри-                      МСМ EPYC 1-го поколения, по сравнению
сталла меньшего размера позволяет получить                     с гипотетическим монокристальным процес-
три годных кристалла из четырех вместо поте-                   сором EPYC 1-го поколения, необходимы для
ри всего большого кристалла.                                   обеспечения межкристальной связи, разме-
   Специалисты описали преимущества МСМ-                       щения избыточной логики и других неназван-
подхода по сравнению с созданием традици-                      ных элементов. Но этот отрицательный мо-
онных монолитных приборов на примере сер-                      мент с лихвой перекрывается экономическим
верного процессора EPYC.                                       выигрышем – ​общая стоимость формирова-
   Отрицательным моментом при переходе                         ния четырех чиплетов, по внутренним оцен-
от монолитной конструкции к МСМ на основе                      кам корпорации AMD, оказалась на 41% ниже
чиплетов может стать увеличение занимае-                       аналогичного показателя гипотетического мо-
мой площади по сравнению с гипотетической                      нолитного процессора.
конструкцией монолитного процессора EPYC                          Итак, хоть и с трудностями, но на уровне 1-го
1-го поколения. МСМ EPYC 1-го поколения                        поколения процессоров EPYC удалось добить-
по площади примерно на 10% больше, чем ги-                     ся 40%-ного снижения затрат на формирование
потетический монолитный процессор EPYC                         структуры физического уровня. Чего же можно
(рис. 1).                                                      добиться на уровне 2-го поколения EPYC?

Высокие ставки
  Многие разработчики ИС, особенно специ-                      к многокристальным (на основе чиплетов)
ализирующиеся в области приборов для высо-                     конструкциям требуется и использование но-
копроизводительных вычислений (HPC), при-                      вейших технологических процессов (с мень-
знают, что для сохранения технологического                     шими проектными нормами). Но дальнейшее
лидерства наряду с переходом от монолитных                     масштабирование связано с резким повы-

         Преимущества MCM-подхода:
             увеличение выхода годных, повышение функциональности
                                                                    Традиционный
             использование разнородных кристаллов
                                                                 монолитный кристалл          1-е поколение ИС EPYC
         Процессор EPYC корпорации AMD
             4 кристалла по 213 мм2 в модуле = 2 кристалла
             по 852 мм2 в корпусе
         Гипотетический монолитный процессор EPYC
                                                                                                                              Источник: ISSCC2021

             ~777 мм2
             отсутствие межкристальной связи Infinity Fabric
             физического уровня, дублирования логики и т. д.
             площадь монолитного кристалла (777 мм2)
             на ~10% меньше площади (852 мм2) МСМ              Стоимость кристалла – 1
                                                                                     ​ ,0   Стоимость кристалла – 0
                                                                                                                  ​ ,59

Рисунок 1. Снижение издержек на формирование кристалла ИС EPYC первого поколения за счет
разделения конструкции на чиплеты

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.                               9
Микроэлектроника                                                                                                                    zet.instel.ru

                      шением издержек – ​особенно ярко это про-                                             высокопроизводительных процессоров на-
                      является на уровне проектных норм менее                                               стольных ПК и серверов. Это хорошо иллю-
                      14/16 нм (рис. 2).                                                                    стрирует пример процессора EPYC2, в кото-
                         Решения на основе чиплетов обеспечивают                                            ром размещен довольно большой кристалл,
                      оптимизацию стоимости и производительно-                                              занятый устройствами ввода–вывода (рис. 3).
                      сти. В рамках процессора EPYC специалисты                                             Ввод–вывод данных в таких ИС осуществля-
                      корпорации AMD разделили конструкцию                                                  ется за счет множества последовательных
                      по уровням проектных норм. Функциональ-                                               шин или каналов, таких как PCIe6 (128 каналов
                      ные блоки центрального процессора, которые                                            в EPIC2) и DDR7-каналы.
                      в наибольшей мере выигрывают от масшта-                                                  Разделение кремниевого кристалла на чип­
                      бирования, реализованы по 7-нм технологи-                                             леты – ​это только первая часть проблемы. Ре-
                      ческому процессу, аналоговые блоки и блоки                                            шив одну проблему, чиплеты породили новые
                      устройств ввода–вывода – ​по более зрелым                                             трудности при проектировании. Соединение
                      проектным нормам. Все это имеет смысл –​                                              девяти чиплетов на одной подложке модуля
                      аналоговые схемы просто не выигрывают                                                 потребовало серьезных проектных изыска-
                      от масштабирования транзисторов или шага                                              ний.
                      межсоединений.                                                                           Тем не менее работа по продвижению про-
                         Вычислительный комплексный кристалл                                                цессора EPIC2 в область реального проек-
                      EPYC 2-го поколения на 86% ориентирован                                               тирования методом смешения (выбора эле-
                      на функции центрального процессора и кэш-                                             ментов) и подгонки принесла свои плоды.
                      память 3-го уровня (СОЗУ). Все это оправды-                                           По расчетам специалистов корпорации AMD,
                      вает использование дорогостоящего 7-нм тех-                                           затраты на проектирование конструкций с от-
                      нологического процесса.                                                               дельным кристаллом устройств ввода–выво-
                         Аналоговые блоки и устройства ввода–вы-                                            да, реализованным по более дешевому 14-нм
                      вода занимают значительную часть площади                                              технологическому процессу (при том что вы-

                            Лидерство в производительности требует                                     Двукратное       Увеличение частоты             Увеличение
                            использовать преимущества 7-нм техпроцесса                                 увеличение         в >1,25 раза (при           потребляемой
                                                                                                        плотности       той же потребляемой         мощности в 0,5 раза
                                                                                                                             мощности)                 (при той же
                            Стоимость разработки и освоения                                                                                        производительности)
                            перспективных технологий продолжает расти

                                                                                                                        Удельная стоимость на 1 мм2 для
                            1-е поколение архитектуры не позволяет                                                       кристалла площадью 250 мм2
                            удвоить число ядер при масштабировании
                                                                         Нормализованная стоимость
                                                                          на 1 мм2 годного кристалла

                            Требуются инновации
Источник: ISSCC2021

                                                                                                               45 нм   32 нм   28 нм   20 нм   14/16 нм   7 нм     5 нм

                      Рисунок 2. Повышение удельных (на 1 мм2) издержек на формирование годного кристалла при
                      уменьшении проектных норм (условные единицы, данные корпорации AMD)

                      10                  Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
zet.instel.ru                                                                                  Микроэлектроника

                    Традиционное                 Центральный процессор EPYC              Центральный процессор EPYC
                 монолитное решение                    1-го поколения                          2-го поколения

                                                                                                                             Источник: ISSCC2021
               Использование          Каждый СФ-блок реализован               Кристалл                  Превосходная
               перспективных          по оптимальной технологии,          централизованных           технология для ЦП
           технологий там, где это     соединения – I​nfinity Fabric   устройств ввода–вывода      по производительности
            наиболее необходимо             2-го поколения               улучшает архитектуру    и потребляемой мощности
                                                                               NUMA*

Рисунок 3. Эволюция решений корпорации AMD на основе чиплетов
* NUMA (non-uniform memory architecture) – ​неоднородная архитектура памяти, особый вид организации подсистемы памяти
в многопроцессорных и многоядерных платформах AMD. Обеспечивает практически одинаковые задержки при доступе к памяти
со стороны любого процессора и ядра, но является потенциальным узким местом по пропускной способности.

числительные чиплеты реализуются по 7-нм                         действительно не существует. Они на данный
процессу), будут снижены по всему ассорти-                       момент являются шагом вперед.
менту продукции с учетом числа ядер и про-                         Оценивая дальнейшие перспективы, про-
изводительности. Если же сравнивать новые                        ектировщики AMD указывают на ряд много-
конструкции EPIC2 с монолитными процесса-                        обещающих возможностей. К ним относятся
ми, содержащими от 24 до 48 ядер, то издерж-                     снижение накладных расходов за счет исполь-
ки производства проектирования снижаются                         зования интерпозеров8 и увеличения плотно-
вдвое. Разработчики AMD отмечают, что реа-                       сти размещения межсоединений, этажиро-
лизация более крупных ядер в качестве моно-                      вание кристаллов памяти непосредственно
литных попросту неосуществима. Судя по ре-                       на вычислительный кристалл, а также «под-
зультатам работ AMD, альтернативы чиплетам                       линное» 3D-этажирование (TSS9).

Фактор кремниевых заводов
   До появления полной и открытой экосисте-                      нейшее развитие полупроводниковых техно-
мы использовать чиплеты смогут только не-                        логий определяется взаимодействием двух
сколько крупнейших производителей ИС. При                        основных концепций, пришедших на смену
этом в подобной экосистеме центральную                           закону Мура: «Больше Мура» (More Moore –​
роль будут играть кремниевые заводы. С этой                      дальнейшее масштабирование) и «Больше,
точки зрения интересно рассмотреть планы                         чем Мур» (More than Moore – ​использование
TSMC.                                                            2,5/3D-интеграции). Свое дальнейшее разви-
   Специалисты этого крупнейшего «чисто-                         тие TSMC в целом определяет на основе трех
го» кремниевого завода отмечают, что даль-                       взаимодополняющих направлений:

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.                             11
Микроэлектроника                                                                         zet.instel.ru

 • развитие 3D ИС и перспективных методик             рования кристаллов ИС, относящихся к на-
   корпусирования;                                    чальным этапам обработки пластин (FEOL),
 • совершенствование кремниевой техноло-              и технологий корпусирования, относящихся
   гии;                                               к завершающим этапам обработки пластин
 • совместная оптимизация кристалла, на-              (BEOL). На этой основе формируется новая
   боров средств проектирования процессов             программа интеграции системного уровня,
   (PDK), проектирования и ПО.                        продвигаемая под торговой маркой 3DFabric.
                                                      Технологии, относящиеся к FEOL-процессам, –
   С точки зрения чиплетного подхода, в рам-          «кристалл-на-пластине» (chip-on-wafer, CoW)
ках концепции «Больше Мура» основное вни-             и «пластина-на-пластине» (wafer-on-wafer,
мание уделяется: размерам кристаллов для              WoW). При их объединении реализуется тех-
высокопроизводительных вычислений; мас-               нология «система-на-интегральной схеме»
штабированию устройств ввода–вывода, не-              (system-on-integrated-chips, SoIC). На уровне
синхронизированному с масштабированием                технологий сборки и корпусирования кор-
цифровой логики; повторному использова-               порация TSMC продвигает свои технологии
нию СФ-блоков и ускорению цикла разработки            CoWoS10 и вышеупомянутую InFO.
и выпуска продукции. Последний пункт явля-               Разработчики TSMC отмечают, что ранее
ется ключевым. Разделение функционально-              под аббревиатурой SOIC понималось другое –​
сти по чиплетам позволяет каждой специ-               плоский корпус ИС с двусторонним располо-
ализированной проектной группе следовать              жением выводов (в форме крыла чайки) –​
собственному оптимальному графику (циклу)             small outline integrated circuit (микрокорпус ИС
разработки.                                           типа SO). Т. е. речь идет об изменении поня-
   Необходимо отметить, что чиплетный под-            тия локального кремниевого межсоединения
ход в большей степени ориентирован на кон-            (local silicon interconnect, LSI). Новый подход
цепцию «Больше, чем Мур», а не на концеп-             TSMC аналогичен технологии EMIB корпора-
цию «Больше Мура». Корпорация TSMC уже                ции Intel. По всей видимости, даже кремние-
давно осваивает методы перспективного                 вые интерпозеры лучше функционируют как
корпусирования. В частности, ею была разра-           чиплеты. По оценкам разработчиков TSMC,
ботана фирменная технология InFO (integrated          подход SоIC по плотности расположения вы-
fan-out) – ​интегрированное корпусирование            водов в 16 раз превосходит подход с исполь-
на уровне пластины с разветвлением, один              зованием микростолбиковых выводов (см.
из видов компромисса между корпусирова-               таблицу).
нием на уровне кристалла и корпусированием               Доклад представителей TSMC на ISSCC‑2021
на уровне пластины. В рамках технологии полу-         завершился представлением «Маршрутной
проводниковая пластина режется на кристал-            карты увеличения плотности размещения
лы, и отдельные кристаллы ИС встраиваются             3D-межсоединений» (3D Interconnect Density,
в новую «искусственную» пластину. В получен-          3DID Roadmap). Она наглядно демонстрирует,
ной встраиваемой структуре между отдельны-            что планарное масштабирование практически
ми кристаллами образуется достаточно места,           прекратилось, а 3D-подходы, особенно SoIC
чтобы сформировать разветвленный слой                 корпорации TSMC, переживают свой «золотой
перераспределения. Эта технология серьез-             век», когда показатели удваиваются каждые
но повлияла на сектор мобильных устройств,            два года (рис. 4).
когда корпорация Apple приняла ее для своего             Доклад TSMC продемонстрировал, что
прикладного процессора A10 (изготавливав-             существует множество достаточно гибких
шегося TSMC) в 2016 г.                                технологических вариантов, позволяющих
   В настоящее время специалисты TSMC ве-             развивать технологию чиплетов в широком
дут работы по интеграции технологий этажи-            диапазоне.

12               Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
zet.instel.ru                                                                       Микроэлектроника

Таблица
Сопоставление параметров интеграции 2,5D, 3D ИС
и «систем-на-ИС»

        Технология                      2,5D ИС                        3D ИС             «Система-на-ИС»
 Структура                   Параллельное                      Этажерка                  Этажерка
                             расположение                      из нескольких             из нескольких
                             на интерпозере двух               SoC, соединяемых          SoC,
                             (и более) SoC, соединяемых        микростолбиковыми         объединяемых
                             с ним микростолбиковыми           выводами                  соединением типа
                             выводами в рамках BEOL                                      «система-на-ИС»
 Межсоединения               микростолбиковые                  микростолбиковые          соединение
                             выводы + BEOL                     выводы                    «система-на-ИС»
 Плотность                               1,00                         1,00                     16,00
 микростолбиковых
 выводов
 Быстродействие                           0,01                           1,00                  11,90

                                                                                                             Источник: ISSCC2021
 Плотность полосы                         0,01                           1,00                 191,00
 пропускания
 Энергоэффективность                      22,90                          1,00                  0,05
 (потребляемая
 мощность/бит)

НИОКР IMEC
   Еще один доклад по чиплетам был под-                    • этажирование и связь межсоединениями
готовлен IMEC. Эта исследовательская орга-                   кристаллов, кристаллов и пластин;
низация представила свою технологическую                   • технология связи и формирования межсо-
программу 3D системной интеграции (3D                        единений между пластинами.
System Integration) (рис. 5).
   Технологии 3D-межсоединений охватыва-                    По оценкам, технология TSV обладает хоро-
ют диапазон от чуть менее миллиметра для                  шим потенциалом масштабирования. Однако
этажированных модулей (наподобие PoP11)                   сектор межслойных переходов и переходных
до менее 100 нм для технологий «подлинно                  отверстий остается достаточно статичным.
3D ИС», использующих этажирование транзи-                 Проблема заключается в том, что технология
сторов. В последнем случае плотность разме-               формирования микростолбиковых выводов
щения межсоединений превышает 102/мм2.                    еще не достигла той точки, где возможности
Другими словами, для совершенствования                    TSV могут быть полностью использованы. Не-
типичных современных технологий есть до-                  обходимо более агрессивное масштабирова-
статочно возможностей.                                    ние.
   С точки зрения специалистов IMEC, суще-                  В настоящее время группа разработчи-
ствуют три основных технологических эле-                  ков IMEC работает над повышением плот-
мента 3D-интеграции:                                      ности расположения столбиковых выводов.
                                                          На ISSCC‑2021 было продемонстрировано,
 • TSV;                                                   что шаг столбиковых выводов из припоя при

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.             13
Микроэлектроника                                                                                                                                                                                                                                  zet.instel.ru

                                                                                                                                                                                                                                                                                                                       Энергоэффективность межсоединений, пДж/бит
                                                                                                                                                                                                                                                                   3D-плотность полосы пропускания, Гбит/с/мм–3
                                                                       Плотность размещения 3D-межсоединений, мм–3
                                                                                                                                                                                      Удвоение каждые
                                                                                                                                                                                          два года

                                                                                                                                                                   Технология SoIC

                                                                                                                                              Технология CoWoS

                                                                                                                                                                           Технология InFO
Источник: ISSCC2021

                                                                                                                                                                                   Число вертикальных межсоединений/мм2

                                                                                                                                           Метод перевернутого кристалла

                                                                                                                                                                       Год           Плотность межсоединений: (число межсоединений/
                                                                                                                                                                                         мм2)×(число горизонтальных дорожек/мм)

                      Рисунок 4. Маршрутная карта TSMC по увеличению плотности 3D-межсоединений (3DID)

                      термокомпрессионном соединении сокраща-                                                                                                                        из четырех кристаллов с шагом TSV столбико-
                      ется до 7 мкм (рис. 6). На изображениях, полу-                                                                                                                 вых выводов и межсоединений в 7 мкм. Оче-
                      ченных при помощи сканирующего электрон-                                                                                                                       видно, что разработчики IMEC подталкивают
                      ного микроскопа и продемонстрированных                                                                                                                         полупроводниковую промышленность к ре-
                      на конференции, была запечатлена этажерка                                                                                                                      ализации этих возможностей и внедрению

                                                                                                                                                                               Кол-во TSV                                    Предполагаемое развитие
                                                                     Пластина-на-пластину
                         2
                       Плотность 3D-межсоединений, кол-во/мм

                                                                                                                                                                                                                           в соответствии с Маршрутной
                                                                                                                                                                                                                           картой увеличения плотности
                                                                                                                                                                                                                                3D-межсоединений
                                                                                                                                                           НИОКР по W2W HB
                                                                                                                                                                                                              Шаг 3D-межсоединений, мкм
                                                                                                                     кремниевую подложку

                                                                                                                                                                                                                                          W2W HB – Н
                                                                                                                                                                                                                                                   ​ ИОКР IMEC

                                                                                                                                                                                                                                          W2W HB НИОКР

                                                                                                                                                                                                                                          W2W HB
                                                                                                                         Кристалл-на-

                                                                                                                                                                            W2W                                                           D2W HB НИОКР IMEC

                                                                                                                                                                                                                                          D2W HB – S
                                                                                                                                                                                                                                                   ​ OIC от TSMC
                                                                                                                                             НИОКР по микростолбиковым                                                                    НИОКР IMEC по микроконтактным столбикам

                                                                                                                                                       выводам      НИОКР по увеличению                                                   D2W – м
                                                                                                                                                                                                                                                ​ икроконтактные столбики (HIR2019)
                                                                 слоистую подложку

                                                                                                                                                                        плотности                                                         D2W – м
                                                                                                                                                                                                                                                ​ икроконтактные столбики (промышленность)
                                                                    Кристалл-на-

                                                                                                                                                                                                                                          Технология перевернутого кристалла (промышленность)

                                                                                                                                           Монтаж на печатную плату     Сборка микроконтактных                                            CSP*-корпусирование

                                                                                                                                           перевернутого кристалла       столбиков на подложке                                            PGA**-BGA***-корпусирование
Источник: ISSCC2021

                                                                                                                                                                                                                                          D2W = Соединение «кристалл-пластина»
                                                                                                                                                                                                                                          W2W = Соединение «пластина-пластина»
                                                                                                                                                                                                                                          HB = Гибридное соединение
                                                                                                                                                  Поверхностный монтаж
                                                                                                                                                    на печатную плату

                                                                                                                                                                                                                     HIR2019 = Промышленная маршрутная карта
                                                                                                                                                                                                                     гетерогенной интеграции 2019 г.

                      Рисунок 5. Маршрутная карта IMEC по 3D-межсоединениям
                      * CSP (chip-scale packaging) – ​корпусирование соразмерно кристаллу ИС, когда размеры корпуса превышают размеры кристалла
                      не более чем на 20%.
                      ** PGA (pin grig array) – ​матрица штырьковых выводов, корпус PGA.
                      *** BGA (ball grid array) – ​корпус с матричным расположением шариковых выводов.

                      14                                                                                                                  Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
zet.instel.ru                                                                               Микроэлектроника

    Термокомпрессионное соединение с использованием                 Термокомпрессионное соединение, «Встроенный
          неполной заливки на уровне пластины                                  столбиковый вывод»

                 Шаг 20 мкм

                                                                     Шаг 10 мкм
                                    16-кристальная этажерка.
                                         Шаг TSV 20 мкм:
                                                                                               4-кристальная
                                        ø 5 мкм × 50 мкм
                                                                                             этажерка. Шаг TSV:
                                                                                              ø 3 мкм × 50 мкм

                                                                          Шаг 7 мкм

                                                                                                                       Источник: ISSCC2021
                                                               4-кристальная этажерка
                                                                   и шаг TSV 7 мкм

Рисунок 6. Намерения IMEC по масштабированию шага микроконтактных столбиков
и повышению плотности размещения TSV

микростолбиковых выводов с помощью TSV-                          единой отраслевой Маршрутной карты уве-
технологии.                                                      личения плотности 3D-межсоединений. Как
                                                                 показал опыт работы с маршрутными кар-
                              ***                                тами масштабирования планарных КМОП-
                                                                 транзисторов, залогом максимально эф-
   Лейтмотивом выступлений на секции                             фективного развития технологий являются
ISSCC‑2021, посвященной технологии чипле-                        скоординированные действия всех заинтере-
тов, стала необходимость формулирования                          сованных игроков по единому плану.

                  Scansen Don. AMD, TSMC & Imec Show Their Chiplet Playbooks at ISSCC. EE Times,
                  February 26, 2021: https://www.eetimes.com/amd-tsmc-imec-show-their-chiplet-playbooks-
                  at-isscc/#

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.                       15
zet.instel.ru                                                                       Микроэлектроника

Перспективы рынка
СФ‑блоков до 2027 г.
                 Ключевые слова: интеллектуальная собственность, НИОКР, проектирование, СФ-блоки.

Недавно исследовательская корпорация Coherent Market Insights представила прогноз развития
рынка СФ-блоков до 2027 г. По данным экспертов, емкость мирового рынка СФ-блоков в 2019 г.
составила 4,111 млрд долл., а в 2027-м этот показатель увеличится до 7,103 млрд. Среднего-
довые темпы прироста продаж в сложных процентах (CAGR) за период 2020–2027 гг. составят
8,9% [1].

   СФ-блоки представляют собой логические                 щает цикл проектирования и вывода на ры-
блоки или логические кристаллы, которые                   нок ИС и конечных электронных систем,
могут быть повторно использованы при соз-                 но и способствует их стандартизации, повы-
дании новых конструкций ИС или логической                 шению энергоэффективности, интеллектуаль-
части вентильных матриц, программируемых                  ности и функциональности [1]. Это, в свою
пользователем, в качестве «строительных                   очередь, будет способствовать увеличению
блоков». Применение таких «строительных                   темпов роста мирового рынка СФ-блоков. Все
блоков» позволяет существенно ускорить                    большее распространение мобильных при-
проектирование ИС, сократить цикл их вы-                  боров, смартфонов, планшетных ПК и других
вода на рынок, способствует повышению ка-                 подключаемых к сетям посредством высоко-
чества и эффективности любого полупро-                    скоростной широкополосной связи устройств
водникового прибора. Предполагается, что                  также способствует ускорению развития рын-
масштабирование электронных приборов                      ка СФ-блоков. Помимо прочего, стимулиро-
окажет положительное воздействие на рынок                 вать мировой рынок СФ-блоков будет и рост
СФ-блоков. Поскольку спрос на миниатюр-                   инвестиций в программы НИОКР в области
ные смартфоны растет, возрастает и слож-                  полупроводниковых приборов, увеличение
ность проектирования ИС. Следовательно,                   доли СФ-блоков преобразования данных
расширяется и освоение современной техно-                 в продуктах и системах беспроводной связи
логии проектирования «систем-на-кристалле»                и Интернета вещей [2]. Вместе с тем, аналити-
(SoC), в которых используются СФ-блоки [2].               ки корпорации Coherent Market Insights в сво-
Кроме того, СФ-блоки активно используют-                  ем исследовании уделили особое внимание
ся в специализированных ИС (ASIC). И SoC,                 многоядерным конструкциям, автомобиль-
и ASIC все шире применяются в автомобиль-                 ной электронике, географической структуре
ной электронике, МР3-плеерах, телевизорах,                рынка СФ-блоков и последствиям пандемии
смартфонах и т. д. Повторное (многократное)               COVID‑19, сдерживающим развитие рынка
использование СФ-блоков не только сокра-                  СФ-блоков.

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.             17
Микроэлектроника                                                                         zet.instel.ru

Многоядерные конструкции и СФ-блоки
   Ожидается, что одним из основных фак-              СФ-блоков центральных и прикладных про-
торов развития рынка полупроводниковых                цессоров. Значительная часть конструкций
СФ-блоков в прогнозируемый период (2020–              центральных и прикладных процессоров
2027 гг.) с технологической точки зрения ста-         представлена многоядерными решениями.
нет расширение применения многоядерных                При этом в одной конструкции могут интегри-
конструкций в секторе потребительской элек-           роваться различные типы ядер и СФ-блоков,
троники (первоначально эта тенденция про-             часть из которых отвечает за высокую произ-
явилась в области процессоров для различных           водительность, а часть – ​за малую потребля-
компьютеров, затем – ​в сфере прикладных              емую мощность [1]. Процессорные СФ-блоки
процессоров смартфонов и планшетных ПК).              находят растущее применение как в потреби-
Потребительская электроника становится все            тельской электронике, так и в вычислитель-
интеллектуальнее благодаря результатам НИ-            ной технике, перспективных системах помощи
ОКР, в ходе которых создаются перспективные           водителю (ADAS), информационно-развлека-
компоненты и ИС, формируемые при помощи               тельных автомобильных системах, промыш-
сложных СФ-блоков, разработанных для каж-             ленной электронике, средствах безопасности
дого конкретного применения. Сектор потреби-          и наблюдения и т. д.
тельской электроники обеспечивает широкие                В частности, автомобильная электрони-
возможности развития для полупроводнико-              ка отличается непрерывностью инноваци-
вой промышленности и поставщиков и разра-             онного процесса (безопасность, управление
ботчиков полупроводниковых СФ-блоков. Вы-             двигателем, навигация, информационно-раз-
сокий спрос на продукцию полупроводниковой            влекательное оборудование и т. п.), поэтому
промышленности предъявляют не только из-              в ее развитии роль полупроводниковых СФ-
готовители смартфонов, планшетных ПК и за-            блоков будет продолжать расти. Развитие тех-
поминающих устройств, но и цифровых камер,            нологий автономных транспортных средств
стиральных машин, холодильников, систем               также способствует увеличению значимости
СИД-освещения. В этой потребительской элек-           автомобильной электроники. Особенно это
тронике широко используются ИС и SoC, при             касается используемых в ней микроконтрол-
изготовлении которых применяются СФ-блоки.            леров и микропроцессоров, датчиков и ин-
   Помимо потребительской электроники по-             терфейсов, аналоговых ИС и ИС ЗУ (во всех
лупроводниковые СФ-блоки играют значи-                широко применяются СФ-блоки). Кроме того,
тельную роль в работе банкоматов, Интернета,          если ранее автомобильная электроника была
средств связи, социальной инфраструктуры              в основном принадлежностью машин класса
(например, медицинские сети ухода за пожи-            люкс, то затем она распространилась на авто-
лыми людьми) [2].                                     мобили средней ценовой категории, а теперь
   Особо отмечается, что доминирующую                 все активнее осваивает сектор недорогих ма-
роль на рынке СФ-блоков занимает сектор               шин [1, 2].

Географическая структура рынка СФ-блоков
  Доминирующее положение на рынке полу-               (АТР) – ​на них приходилось 38,4% доходов
проводниковых СФ-блоков в 2019 г. занима-             от продаж. Далее следовали Северная Амери-
ли страны Азиатско-Тихоокеанского региона             ка и Европа (см. рисунок) [1]. Ожидается, что до-

18               Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
zet.instel.ru                                                                       Микроэлектроника

      40%                                                                   38,4%

      30%

                                                                                                             Источник: Coherent Market Insights
                 27,2%

                                                        20,7%
      20%

      10%                            8,3%
                                                                                              5,7%

       0%

Географическая структура рынка СФ-блоков в 2019 г.

минирование стран АТР сохранится в течение                полупроводниковых СФ-блоков будет наблю-
всего прогнозируемого периода. Это, в част-               даться в Северной Америке. Здесь располага-
ности, связано с увеличением дохода на душу               ется около 500 fabless-фирм, чья специализа-
населения и располагаемого потребительско-                ция – ​проектирование ИС. Крупнейшей из них
го дохода в Индии и КНР. Так, по данным Все-              является корпорация Qualcomm. Кроме того,
мирного банка12, среднедушевой доход в КНР                в последнее время модель fabless начинает
в 2019 г. составил 10,3 тыс. долл. – ​по сравне-          использовать и корпорация Intel. Крупней-
нию с 8,9 тыс. в 2017 г. Такое увеличение дохо-           шие поставщики ИС в регионе сосредоточе-
да на душу населения привело к росту спроса               ны на стратегических сделках слияния и по-
на потребительскую электронику, прежде все-               глощения – ​с целью расширения клиентской
го смартфоны и планшетные ПК.                             базы. Например, в августе 2019 г. корпорация
   Ожидается, что в течение прогнозируемо-                Rambus поглотила корпорацию Northwest Logic
го периода значительный рост потребления                  (ИС ЗУ, PCIe и MIPI13 цифровые контроллеры).

Последствия пандемии COVID‑19 и другие факторы
   Пандемия COVID‑19 оказала и продолжает                 средства проводной связи [1]. Однако увели-
оказывать значительное влияние как на пред-               чился спрос на беспроводные средства связи
ложение, так и на спрос в полупроводнико-                 и облачную инфраструктуру для поддержания
вой промышленности, в том числе потому,                   удаленных и надомных работников. В долго-
что многие предприятия различных отраслей                 срочной перспективе это окажет положитель-
по всему миру были закрыты в связи с каран-               ное влияние на мировой рынок полупроводни-
тинными мерами. Полупроводниковые фирмы                   ковых СФ-блоков [1, 2].
оценивают воздействие пандемии по трем на-                   Основным фактором, сдерживающим раз-
правлениям: цепочка поставок, рыночный                    витие рынка полупроводниковых СФ-блоков,
спрос и рабочая сила. Замедление производ-                стал рост объемов фальсифицированной про-
ства потребительской электроники и автомо-                дукции (контрафакта). Распространение кон-
билей привело к изменению спроса на ИС [2].               трафактных полупроводниковых приборов
Кроме того, снизился спрос на ПК и серверы,               обусловлено недостатками в организации це-

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.             19
Микроэлектроника                                                                         zet.instel.ru

почек поставок и стремлением многих потре-            приборов, их качество и надежность остается
бителей покупать полупроводниковые прибо-             покупка исключительно через авторизован-
ры по минимальным ценам в ущерб качеству.             ные источники поставок [2].
На рынке действует большое число независи-                Основные разработчики и поставщики СФ-
мых дистрибьюторов и брокеров, получающих             блоков: Arm Holdings, Synopsys, Cadence Design
продукцию от широкого круга заказчиков. При           Systems, Imagination Technologies, Lattice
этом некоторые поставщики (вольно или не-             Semiconductor, CEVA, Rambus, Silvaco, Intel,
вольно) включают в рыночную цепочку поста-            eMemory Technology, Dream Chip Technologies
вок контрафактные товары. Существует мно-             (Goodix Technology), VeriSilicon Microelectronics
жество способов отследить происхождение               (Shanghai), Achronix Semiconductor, Open-
и проверить подлинность полупроводниковых             Silicon, Dolphin Design SAS, Faraday Technology,
приборов. Эти способы постоянно совершен-             Xilinx, Mentor (подразделение Siemens), SMIC,
ствуются. Но единственным способом гаран-             Cobham Gaisler, Arasan Chip Systems, HDL
тировать подлинность полупроводниковых                Design House, Mixel и TDK (InvenSense) [1].

            Global Semiconductor Intellectual Property (IP) Market to Reach US$7,103.0 Million
            by 2027. Semiconductor Digest, March 11, 2021: https://www.semiconductor-digest.
            com/2021/03/11/global-semiconductor-intellectual-property-ip-market-to-reach-us‑7103–0-
            million-by‑2027/

            Semiconductor Intellectual Property (IP) Market Analysis. Coherent Market Insights, January
            2021: https://www.coherentmarketinsights.com/market-insight/semiconductor-intellectual-
            property-market‑4389

20               Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
zet.instel.ru                                                                  Производственная база

Micron отказывается
от 3D Xpoint ради CXL
                       Ключевые слова: интерфейс, память, фазовое состояние, энергонезависимость.

Корпорация Micron Technologies отказывается от дальнейших работ по технологии 3D Xpoint. Вме-
сто этого она сосредоточится на технологии CXL. Данное решение обусловлено изменениями в ра-
бочих нагрузках центров обработки данных (ЦОД). Это же решение открывает новые возможности
перед корпорацией Intel.

   Корпорация Micron Technologies покидает                (см. рисунок). Запись бита происходит при из-
некогда многообещающий рынок энергоне-                    менении агрегатного состояния вещества пу-
зависимой памяти 3D Xpoint. Данная техно-                 тем подачи на селектор напряжения опреде-
логия, основанная на эффекте изменения фа-                ленной величины.
зового состояния, была разработана в 2015 г.                 Теперь вместо 3D Xpoint корпорация Micron
корпорациями Intel и Micron, продукция вы-                сосредоточит свои усилия на перспективном
пускалась под товарными знаками Optane                    интерфейсе Compute Express Link (CXL14), при-
(Intel) и QuantX (Micron). Это бестранзистор-             званном увеличить пропускную способность
ные схемы памяти, в которых пара «селек-                  ЦОД. Решение об отказе от дальнейших работ
тор – ​ячейка памяти» располагается в точке               по технологии 3D Xpoint было продиктовано
пересечения перпендикулярных проводников                  оценкой специалистов корпорации относи-

      Перекрестно-точечная структура.                                          Этажируемость. В целях
      Перпендикулярные шины                                                    увеличения плотности тонкие слои
      соединяют субмикронные                                                   памяти могут быть этажированы
      колонны. Адрес отдельной ячейки
                                                                                        Селектор. ДОЗУ требует
      памяти определяется выбором
                                                                                        наличия транзистора
      верхней и нижней шин
                                                                                        в каждой ячейке
                                                                                        памяти, что делает их
                                                                                        крупными и дорогими.
      Энергонезависимость.                                                              Селекторы, используемые
      Технология 3D XPoint                                                              в технологии 3D
      энергонезависима, т. е. данные                                                    XPoint, отправляют
                                                                                                                       Источник: Micron Technologies

      сохраняются при отключении                                                        на каждую ячейку
      питания                                                                           памяти необходимое
                                                                                        напряжение, что позволяет
                                                                                        осуществлять запись
          Длительный срок службы. В отличие                                             или считывание без
          от других технологий памяти 3D                                                транзистора
          XPoint не подвержена значительному                                       Ячейка памяти. Каждая ячейка
          воздействию числа циклов записи/                                         памяти хранит 1 бит данных
          стирания, что обеспечивает
          длительность ее использования

Технология 3D XPoint

Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.                       21
Производственная база                                                                    zet.instel.ru

тельно рыночных возможностей 3D Xpoint                быстродействием, чем схемы флэш-памяти
в свете ожидаемого воздействия технологии             NAND-типа.
CXL и новых схем памяти Micron на ЦОД сле-                Эволюция рабочих нагрузок ЦОД измени-
дующего поколения. В настоящее время кор-             ла эти требования. С тех пор ограничиваю-
порация ведет переговоры с несколькими по-            щим фактором стала пропускная способность
тенциальными покупателями своего завода               по линии «центральный процессор – ​ДОЗУ»,
по обработке пластин в Лихае (шт. Юта, США),          поскольку в корпоративных ЦОД начали до-
надеясь завершить продажу до конца 2021 г.            минировать информационно-емкие нагрузки
На заводе в Лихае Micron в начале 2000-х гг.          с применением ИИ, требующие переработки
произвела свои первые схемы флэш-памяти               больших объемов данных. Для обеспечения
NAND-типа и вышла на данный рынок. Позд-              адекватной пропускной способности памяти
нее предприятие стало местом массово-по-              на одно ядро центрального процессора требу-
точного производства памяти 3D Xpoint.                ется бóльшая емкость ДОЗУ. Специалисты кор-
   Уход Micron из сектора приборов 3D                 порации Micron учли этот фактор при принятии
Xpoint знаменует собой поворотный момент.             решения об использовании спецификации CXL.
В то время как Micron отказывается от 3D              Сообщается также, что имеющиеся у фирмы
Xpoint в пользу CXL, корпорация Intel вдвое           процессы и технологии изготовления 3D Xpoint
расширила свое семейство ИС Optane, реа-              будут использоваться и после перехода на CXL.
лизованных по технологии 3D Xpoint. Осенью                Еще один обсуждаемый момент – ​положе-
2020 г. Intel продала свое отделение флэш-            ние, в котором оказалась корпорация Intel.
памяти NAND-типа южнокорейской корпора-               Решение Micron Technologies существенно
ции SK Hynix. Полученные средства она наме-           расширило возможности технологии Optane,
рена инвестировать в дальнейшее развитие              освободив определенную долю рынка. Теперь
семейства Optane – ​по мере того как эта тех-         у Intel появилось больше возможностей увели-
нология набирает популярность среди опера-            чить объемы производства и найти новые при-
торов ЦОД. Аналитики считают, что получен-            ложения технологии больших данных (приме-
ными средствами Intel удалось эффективно              няемой в ЦОД), требующие большой емкости
субсидировать разработки по технологии                памяти. Отмечается и уже упомянутый факт
Optane, интегрировав их с разработками в об-          относительно Intel – ​продажа отделения флэш-
ласти серверных систем.                               памяти NAND-типа – ​в сочетании с ростом цен
   После появления в 2015 г. технологии энер-         на ДОЗУ. Решение о продаже NAND-отделения
гонезависимой памяти 3D Xpoint корпорация             было принято тогда, когда схемы Optane прода-
Micron заявила, что ее стратегия заключается          вались в два раза дешевле аналогичных схем
в предоставлении клиентам ЦОД технологии              ДОЗУ. Сейчас цены на ДОЗУ растут, и у анали-
постоянной памяти15 для облачной инфра-               тиков возникает вопрос – ​а хватит ли у Intel
структуры, такой как контейнеры клиентских            мужества продолжать реализовывать свою
приложений. Первоначальная ценность пред-             стратегию по Optane в складывающихся усло-
ложения заключалась в том, что ИС 3D Xpoint           виях и продолжать наращивать объемы выпу-
были дешевле ДОЗУ и обладали бóльшим                  ска этих приборов?

            Leopold George. Bandwidth Demand Prompts Micron Transition from 3D Xpoint to CXL.
            EE Times, March 18, 2021: https://www.eetimes.com/bandwidth-demand-prompts-micron-
            transition-from‑3d-xpoint-to-cxl/

22               Экспресс-информация по зарубежной электронной технике. Выпуск 7 (6731) от 8 апреля 2021 г.
Вы также можете почитать